bonjour les développeurs
je passe directement a mon problème :
Je suis entrain de faire un faire code vhdl pour la distance euclidienne donc utiliser virgule flottant
moi je déclarer juste pour le tst ce code :
0 error et 0 error en simulate mais le problème c'est ça :
Code : Sélectionner tout - Visualiser dans une fenêtre à part
1
2
3
4
5
6
7
8
9
10
11
12 entity tst32 is port (f : in std_logic_vector (31 downto 0); s : out std_logic_vector (31 downto 0) ); end tst32; architecture Behavioral of tst32 is begin s<= f; end Behavioral;
help plzzzzz + pour les operations de opérations de virgule flottant ??
Code : Sélectionner tout - Visualiser dans une fenêtre à part
1
2 isim force add {/tst32/f} 10101011001010111010101011011010 -radix bin /tst32/f: Could not convert given string value 10101011001010111010101011011010 to an appropriate value.
Partager