IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

Reconnaissance de formes


Sujet :

VHDL

  1. #1
    Candidat au Club
    Femme Profil pro
    Étudiant
    Inscrit en
    Octobre 2011
    Messages
    4
    Détails du profil
    Informations personnelles :
    Sexe : Femme
    Localisation : Tunisie

    Informations professionnelles :
    Activité : Étudiant

    Informations forums :
    Inscription : Octobre 2011
    Messages : 4
    Points : 3
    Points
    3
    Par défaut Reconnaissance de formes
    Bonjour

    J'ai un projet et je ne peux pas le résoudre moi même ; alors là je cherche de l'aide de vous, svp j'attends vos aides
    Ce projet permet d'entrer des nombres de manière séquentielle. Un signal load indique de saisir le nombre et il faut vérifier s'il est identique avec le nombre qui existe par défaut ; s'il est identique le signal de sortie found reçoit 1 sinon il reçoit 0. Toutes les opérations sont synchronisées par un signal d'horloge.
    L'exemple de ce projet est inséré dans l'image.
    Images attachées Images attachées  

  2. #2
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 429
    Points
    1 429
    Par défaut
    J'ai pas vérifié si ca compile sans erreur:

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    35
    36
    library IEEE;
        use IEEE.std_logic_1164.all;
        use IEEE.numeric_std.all;
        use IEEE.std_logic_unsigned.all;
     
    entity PATTERN_COMPARE is
        generic(
            PATTERN_WIDTH   : in natural range 1 to 128 := 8
        );
        port(
            RST     : in std_logic;
            CLK     : in std_logic;
            --
            DATA_IN : in std_logic_vector(PATTERN_WIDTH-1 downto 0);
            LOAD    : in std_logic;
            PATTERN : in std_logic_vector(PATTERN_WIDTH-1 downto 0);
            --
            FOUND   : out std_logic
        );
    end entity PATTERN_COMPARE;
     
    architecture RTL of PATTERN_COMPARE is
    begin
        pattern_cmp_prcs : process(RST,CLK)
        begin
            if(RST = '1')then
                FOUND   <= '0';
            elsif(rising_edge(CLK))then
                if(LOAD = '1' and DATA_IN = PATTERN)then
                    FOUND   <= '1';
                else
                    FOUND   <= '0';
                end if;
            end if;
        end process pattern_cmp_prcs;
    end RTL;
    @+

  3. #3
    Candidat au Club
    Femme Profil pro
    Étudiant
    Inscrit en
    Octobre 2011
    Messages
    4
    Détails du profil
    Informations personnelles :
    Sexe : Femme
    Localisation : Tunisie

    Informations professionnelles :
    Activité : Étudiant

    Informations forums :
    Inscription : Octobre 2011
    Messages : 4
    Points : 3
    Points
    3
    Par défaut
    merci beaucoup

+ Répondre à la discussion
Cette discussion est résolue.

Discussions similaires

  1. Reconnaissance de forme par réseau neuronal
    Par Rémiz dans le forum Méthodes prédictives
    Réponses: 34
    Dernier message: 28/05/2007, 16h41
  2. Reconnaissance de "forme" sur une fonction
    Par Nemerle dans le forum Traitement du signal
    Réponses: 13
    Dernier message: 20/10/2006, 11h23
  3. reconnaissance de formes dans un Fichier Avi
    Par renovator dans le forum C++Builder
    Réponses: 1
    Dernier message: 05/07/2006, 09h40
  4. [MasterPage] Reconnaissance de Form
    Par Kylen dans le forum ASP.NET
    Réponses: 8
    Dernier message: 04/07/2006, 15h33
  5. Algos pour reconnaissance de formes
    Par Laurent Gomila dans le forum Algorithmes et structures de données
    Réponses: 14
    Dernier message: 09/12/2005, 10h34

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo