IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

un problème en vhdl


Sujet :

VHDL

  1. #1
    Futur Membre du Club
    Femme Profil pro
    Étudiant
    Inscrit en
    Novembre 2014
    Messages
    5
    Détails du profil
    Informations personnelles :
    Sexe : Femme
    Localisation : Maroc

    Informations professionnelles :
    Activité : Étudiant
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Novembre 2014
    Messages : 5
    Points : 9
    Points
    9
    Par défaut un problème en vhdl
    Bonjour. svp j'ai trouvé une phrase dans un code en vhdl que j'ai pas compris:

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    implant: FOR i IN 0 TO 7 GENERATE
    j'espère me répondre. et merci d'avance

  2. #2
    Futur Membre du Club
    Homme Profil pro
    ingénieur R&D
    Inscrit en
    Mai 2015
    Messages
    4
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Âge : 49
    Localisation : France, Hauts de Seine (Île de France)

    Informations professionnelles :
    Activité : ingénieur R&D
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Mai 2015
    Messages : 4
    Points : 7
    Points
    7
    Par défaut
    Bonjour,

    Il faut tout copier/coller... vous devez avoir quelque chose du genre

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    implant :for i in 0 to 7 generate 
      -- des instructions concurrentes, des instanciations, des blocks, des processes, que sais-je encore...
    end generate;
    il faut tout ce qu'il y a entre generate et end generate.

  3. #3
    Membre actif Avatar de cedd70
    Homme Profil pro
    Ingénieur R&D
    Inscrit en
    Mars 2012
    Messages
    154
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Doubs (Franche Comté)

    Informations professionnelles :
    Activité : Ingénieur R&D
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Mars 2012
    Messages : 154
    Points : 263
    Points
    263
    Par défaut Bonjour
    Cela permet de générer du code automatiquement
    Je m'explique
    Par exemple tu veux faire un registre à décalage
    Au lieu de faire plein de bascule D connecté à la "main"
    Tu en écrit seulement une et tu reboucle l'entrée sur la sortie automatiquement pour le nombre souhaité
    Si ça peut t'aider

  4. #4
    Futur Membre du Club
    Homme Profil pro
    ingénieur R&D
    Inscrit en
    Mai 2015
    Messages
    4
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Âge : 49
    Localisation : France, Hauts de Seine (Île de France)

    Informations professionnelles :
    Activité : ingénieur R&D
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Mai 2015
    Messages : 4
    Points : 7
    Points
    7
    Par défaut
    Un exemple http://vhdlguru.blogspot.fr/2010/09/...yword-for.html
    Un autre http://www.fpgadeveloper.com/2011/07...-for-loop.html

    Mais l'instruction generate peut servir à d'autres choses.

Discussions similaires

  1. Problème code VHDL
    Par illidan92 dans le forum VHDL
    Réponses: 2
    Dernier message: 04/12/2012, 19h44
  2. Problème d'installation oracle 8.1.7 sous NT
    Par Anonymous dans le forum Installation
    Réponses: 7
    Dernier message: 02/08/2002, 14h18
  3. Problème avec la mémoire virtuelle
    Par Anonymous dans le forum CORBA
    Réponses: 13
    Dernier message: 16/04/2002, 16h10
  4. Réponses: 6
    Dernier message: 25/03/2002, 21h11

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo