IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

modelisation CAN 14 bits


Sujet :

VHDL

  1. #1
    Membre à l'essai
    Homme Profil pro
    alternance STMicroelectronics
    Inscrit en
    Novembre 2013
    Messages
    21
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Meurthe et Moselle (Lorraine)

    Informations professionnelles :
    Activité : alternance STMicroelectronics
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Novembre 2013
    Messages : 21
    Points : 18
    Points
    18
    Par défaut modelisation CAN 14 bits
    Bonjour a tous!

    J'ai besoin de modeliser un can (dont la doc est donne en piece jointe) pour de la simulation seulement, c'est la premiere fois que je modelise un can et je galere un peu. Surtout que celui la me semble assez complexe...
    Pouvez-vous me donner des conseils sur comment m'y prendre s'il vous plait. Et si vous savez ou je peux avoir des modeles de CAN dont je pourrais m'inspirer pour celui que je dois modeliser, ca serait bien aussi!.

    Merci!
    Images attachées Images attachées

  2. #2
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 429
    Points
    1 429
    Par défaut
    Celui la n'est pas bien compliqué!
    Commence par décrire les entrées et les sorties du composant.
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
     
    entity LTC2255
        port(
            VDD     : in real;  --Volt
            AIN_p   : in real;  --Volt
            AIN_n   : in real;  --Volt
            REFH    : in real;  --Volt
            REFL    : in real;  --Volt
            SENSE   : in real;  --Volt
            --
            CLK     : in std_logic;
            SHDN    : in std_logic;
            OEn     : in std_logic;
            MODE    : in std_logic;
            --
            OVFLW   : out std_logic;
            DOUT    : out std_logic_vector(13 downto 0);
        );
    end entity LTC2255;
    Puis lire et relire la doc encore et encore.

  3. #3
    Membre à l'essai
    Homme Profil pro
    alternance STMicroelectronics
    Inscrit en
    Novembre 2013
    Messages
    21
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Meurthe et Moselle (Lorraine)

    Informations professionnelles :
    Activité : alternance STMicroelectronics
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Novembre 2013
    Messages : 21
    Points : 18
    Points
    18
    Par défaut
    Merci!

    J'avais deja commence par ecrire l'entite, et vu que ce composant est present sur un schema en particulier Nom : Capture.PNG
Affichages : 97
Taille : 64,5 Ko cela simplifie un peu ton entite et voila ce que j'ai:

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    entity adc is
     
    	generic (--Ain_pos, Ain_neg: real;
    		 RefH, RefL: real);
     
    	port(	Ain_pos: in real;
    		Ain_neg: in real;
    		CLK: in std_logic;
    		MODE: in real;
    		OF_out: out std_logic;
    		D: out std_logic_vector(13 downto 0));
     
    end adc;
    Ce que je ne comprend pas tres bien (entre autre) c'est le codage (tableau page 17), moi j'ai voulu faire par approximations successives mais ca ne marche pas top top. Dans ce tableau "2V range" c'est determine par REFH et REFL ?

    J'ai pleins de questions (betes) au sujet du composant et ca serait bien de tout comprendre avant de coder...

Discussions similaires

  1. Can't load IA 32-bit .dll on a AMD 64-bit platform
    Par toufik135 dans le forum Général Java
    Réponses: 1
    Dernier message: 12/07/2014, 18h07
  2. Tomcat 7: Can't load IA 32-bit .dll on a AMD 64-bit platform
    Par ensemien dans le forum Tomcat et TomEE
    Réponses: 0
    Dernier message: 07/04/2012, 17h09
  3. Cherche l'algo crc 16 bits
    Par icepower dans le forum Algorithmes et structures de données
    Réponses: 2
    Dernier message: 21/08/2002, 13h27
  4. Debugger 16-32 bits
    Par Mat dans le forum Assembleur
    Réponses: 4
    Dernier message: 28/06/2002, 11h34
  5. Lire 1 bit d'un fichier en C
    Par Anonymous dans le forum C
    Réponses: 3
    Dernier message: 23/05/2002, 18h31

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo