Bonjour a tous, je voudrais modéliser un comparateur a l'aide du langage vhdl-ams, mais comme c'est la première fois que j'utilise ce langage je suis un peu perdu... (d'ailleurs si vous avez un cours complet sur ce langage je suis preneur )

Bon j'ai quand mémé trouvé un code simple pour voir si ca marchait, mais quand je compile simplement l'entite (je compile entite et architecture dans 2 fichiers discincts), il me trouve une erreur:
Voici mon entite:

Code : Sélectionner tout - Visualiser dans une fenêtre à part
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;
use ieee.electrical_systems.all;
 
entity comparator is 
 
--generic (level : Real := 2.5); -- seuil 
 
port(terminal a: electrical; -- entréé analogique 
     signal   s : out std_logic);       -- sortie numérique
 
end comparator;
Et l'erreur que j'ai est la suivante:

Code : Sélectionner tout - Visualiser dans une fenêtre à part
1
2
3
port(terminal a: electrical; -- entr�� analogique 
              |
ncvhdl_p: *E,MISCOL (comparateur_ent.vhdl,9|14): expecting a colon (':') 87[4.3.3] 93[4.3.2].
Ça a l'air tout bête comme erreur mais j'ai bien compare avec d'autres codes similaires et ça me semble bon. De plus j'ai remarque que le mot clef 'terminal' n'est pas mis en couleur comme les autres mots clefs.
Pensez vous que j'utilise pas les bonnes librairies dédiées au vhdl-ams?

J'attends vos reponses please!