Bonjour,
j'aimerais faire un division signée avec la fonction sext.
Voila mon code :
et Modelsim me dit :
Code : Sélectionner tout - Visualiser dans une fenêtre à part
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.math_real.all; entity test is port( i : in std_logic_vector(7 downto 0); o : out std_logic_vector(7 downto 0)); end test; architecture rtl of test is begin o <= sext(i(7 downto 3),8); end rtl;
Vous pouvez m'indiquer la solution ?** Error: *.vhd(14): (vcom-1136) Unknown identifier "sext".
Merci.
Partager