IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

[Débutant] Problème de mapping des ports


Sujet :

VHDL

  1. #1
    Membre averti
    Homme Profil pro
    Développeur .NET
    Inscrit en
    Décembre 2004
    Messages
    304
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Âge : 42
    Localisation : Tunisie

    Informations professionnelles :
    Activité : Développeur .NET
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Décembre 2004
    Messages : 304
    Points : 405
    Points
    405
    Par défaut [Débutant] Problème de mapping des ports
    Bonjour a tous

    Je sais pas s'il y a des connaisseurs du langage VHDL, mais bon, comme on dit, qui ne tente rien n'a rien

    Voila mon code :
    Fichier mux.vhd
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
     
    library IEEE;
    use IEEE.std_logic_1164.all;
     
    entity MUX is
      port (TIME_DATA    : in std_logic_vector(3 downto 0);
            ALARM_DATA   : in std_logic_vector(3 downto 0);
            SHOW_A       : in  std_logic;
            DISPLAY      : out std_logic_vector(3 downto 0));
    end MUX;
     
    architecture RTL of MUX is
     
    begin
     
      DISP_MUX: process (TIME_DATA, ALARM_DATA)
      begin
        if SHOW_A = '1' then
          DISPLAY <= ALARM_DATA;
        else 
          DISPLAY <= TIME_DATA;
        end if;
      end process DISP_MUX;
     
    end RTL;
    Et son testbench (pour tester le fonctionnement du multiplexeur):
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    35
    36
    37
    38
    39
    40
    41
    42
    43
    44
    45
     
    library IEEE;
    use IEEE.std_logic_1164.all;
     
    entity TB_MUX is 
    end TB_MUX;
     
    architecture BENCH of TB_MUX is
     
      -- component declaration for MUX
      component MUX
        port (TIME_DATA    : in std_logic_vector(3 downto 0):="0000";
              ALARM_DATA   : in std_logic_vector(3 downto 0);
              SHOW_A       : in  std_logic:='0';
              DISPLAY      : out std_logic_vector(3 downto 0));
      end component;
     
      -- local signal declarations
      signal TIME_DATA, ALARM_DATA, DISPLAY : std_logic_vector(3 downto 0);
      signal SHOW_A : std_logic;  
     
    begin
     
      -- component instantiation of MUX
      --SHOW_A <= '0' after 20 ns;
     
      DUT : MUX port map (
              TIME_DATA => TIME_DATA,
              ALARM_DATA => ALARM_DATA, 
              SHOW_A => SHOW_A, 
              DISPLAY => DISPLAY
          );
     
      -- stimulus process
       STIMULUS: process
       begin
          TIME_DATA  <= "0000"; 
          ALARM_DATA <= "1111";
          SHOW_A     <= '0';
          wait for 10 ns;
          SHOW_A     <= '1';
          wait for 10 ns;
          wait;  -- suspend process
       end process STIMULUS;
    end BENCH;
    Si vous suivez le fonctionnement du multiplexeur, normalement à la deuxieme initialisation de SHOW_A ( SHOW_A <= '1'), la sortie DISPLAY devrai passé à la valeur de ALARM_DATA, le probleme c'est que n'est pas le cas.

    Pourriez vous m'eclairer? Peut etre un probleme de mapping des ports? ou autre chose ?
    je debute en VHDL.

    Merci pour votre Aide

    Zehle

    EDIT : un grand merci au moderateur pour l'edit
    S'il n'y a pas de Solution, c'est qu'il n'y a pas de Problème.
    ----------------------------------------------------------------------------------------
    Pour se protéger, un bon préservatif pour votre PC : AntiVir et SpyBot - Search & Destroy

  2. #2
    Membre averti
    Homme Profil pro
    Développeur .NET
    Inscrit en
    Décembre 2004
    Messages
    304
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Âge : 42
    Localisation : Tunisie

    Informations professionnelles :
    Activité : Développeur .NET
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Décembre 2004
    Messages : 304
    Points : 405
    Points
    405
    Par défaut
    apres de longs essayes. j'ai résolue le probleme :

    le probleme est dans le process DISP_MUX, il manquai le port SHOW_A tres important dans le fonctionnement du mux.

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    begin
        DISP_MUX: process (TIME_DATA, ALARM_DATA, SHOW_A)
         begin
             if SHOW_A = '1' then
                 DISPLAY <= ALARM_DATA;
             else 
                 DISPLAY <= TIME_DATA;
         end if;
          end process DISP_MUX;
    end RTL;
    S'il n'y a pas de Solution, c'est qu'il n'y a pas de Problème.
    ----------------------------------------------------------------------------------------
    Pour se protéger, un bon préservatif pour votre PC : AntiVir et SpyBot - Search & Destroy

+ Répondre à la discussion
Cette discussion est résolue.

Discussions similaires

  1. Probléme de listage des ports com
    Par Seelass dans le forum Collection et Stream
    Réponses: 11
    Dernier message: 05/02/2010, 13h40
  2. problème de programmation des ports séries
    Par amina.5 dans le forum Entrée/Sortie
    Réponses: 1
    Dernier message: 28/01/2010, 20h24
  3. [SimpleXML] [débutant] Accès à des données XML
    Par pouktoro dans le forum Bibliothèques et frameworks
    Réponses: 4
    Dernier message: 24/04/2009, 19h51
  4. Réponses: 3
    Dernier message: 18/01/2007, 16h25
  5. [débutante] problème de validation des méta-tags
    Par silversky dans le forum Balisage (X)HTML et validation W3C
    Réponses: 3
    Dernier message: 13/09/2005, 17h26

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo