IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Index du forum

Recherche:

Type: Messages; Utilisateur: albanxiii

Recherche: Recherche effectuée en 0,01 secondes.

  1. Votes reçus
    +0 -0
    Réponses
    3
    Affichages
    750

    Un exemple...

    Un exemple http://vhdlguru.blogspot.fr/2010/09/how-to-use-generate-keyword-for.html
    Un autre http://www.fpgadeveloper.com/2011/07/code-templates-generate-for-loop.html

    Mais l'instruction generate...
  2. Votes reçus
    +0 -0
    Réponses
    4
    Affichages
    2 644

    Bonjour, Ces informations ne sont...

    Bonjour,


    Ces informations ne sont qu'indicatives, vous pouvez mettre le nom de votre école si vous êtes étudiant, par exemple. Si vous n'êtes pas étudiant, essayez "independant" comme...
  3. Votes reçus
    +0 -0
    Réponses
    3
    Affichages
    750

    Bonjour, Il faut tout copier/coller... vous...

    Bonjour,

    Il faut tout copier/coller... vous devez avoir quelque chose du genre


    implant :for i in 0 to 7 generate
    -- des instructions concurrentes, des instanciations, des blocks, des...
  4. Discussion: Bluetooth et vhdl

    par albanxiii
    Votes reçus
    +0 -0
    Réponses
    10
    Affichages
    1 552

    Bonjour, En fait, vous avez à faire une...

    Bonjour,


    En fait, vous avez à faire une liaison série entre un module Bluetooth et un PC. Il n'y a pas de stack Bluetotoh là dedans.

    Et pour en avoir fait une il y a 16 ans (baseband et link...
  5. Votes reçus
    +0 -0
    Réponses
    1
    Affichages
    498

    [albanxiii] Hello world

    Bonjour,

    Je suis Alban, 40 ans, j'ai une formation d'ingénieur physicien généraliste, puis j'ai dérivé vers l'électronique et les télécoms en DEA (à l'époque) et thèse.
    Mon premier gros travail a...
Affichage des résultats 1 à 5 sur 5