IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Index du forum

Recherche:

Type: Messages; Utilisateur: comptet

Recherche: Recherche effectuée en 0,01 secondes.

  1. Discussion: Bluetooth et vhdl

    par comptet
    Votes reçus
    +0 -0
    Réponses
    10
    Affichages
    1 447

    cedd70 Ton code c'est pour transmettre avec...

    cedd70
    Ton code c'est pour transmettre avec l'uart si je me trompe pas non ? ^^
    Pour le module UART, je n'ai pas de problème, je m'en suis codé un, moins light que le tiens mais dans un premier...
  2. Discussion: Bluetooth et vhdl

    par comptet
    Votes reçus
    +0 -0
    Réponses
    10
    Affichages
    1 447

    Rebonjour tout le monde, Désolé pour mon temps...

    Rebonjour tout le monde,

    Désolé pour mon temps de réponse, j'ai eu pas mal de boulot à côté qui ne m'a pas fait avancer sur le sujet. ^^

    albanxiii
    En faite moi c'est l'inverse par rapport à...
  3. Discussion: Bluetooth et vhdl

    par comptet
    Votes reçus
    +0 -0
    Réponses
    10
    Affichages
    1 447

    Bluetooth et VHDL

    Merci sgievounet pour ta réponse :)

    Pour la finalité de mon projet, je me suis peut être mal exprimé désolé.
    Je dois faire un stack bluetooth en VHDL pour le PLD, et un mini soft sur PC pour...
  4. Discussion: Bluetooth et vhdl

    par comptet
    Votes reçus
    +0 -0
    Réponses
    10
    Affichages
    1 447

    Bluetooth et vhdl

    Bonjour tout le monde.

    Je viens pour avoir quelques éclaircissement sur le bluetooth.^^

    Je dois réaliser un stack bluetooth en vhdl, le PLD final n'est pas encore choisi mais j'ai une carte...
Affichage des résultats 1 à 4 sur 4