IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Index du forum

Recherche:

Type: Messages; Utilisateur: seni404

Recherche: Recherche effectuée en 0,01 secondes.

  1. Votes reçus
    +0 -0
    Réponses
    2
    Affichages
    2 699

    Synchronisation entre deux process

    Bonsoir à tous,

    je suis débutante en VHDL, je veux écrire un simple programme qui fait la synchronisation entre 2 process, lorsque l'un fonctionne l'autre non. Pour cela j'ai utilisé une variable...
  2. Discussion: Code interleaver

    par seni404
    Votes reçus
    +0 -0
    Réponses
    0
    Affichages
    835

    Code interleaver

    Bonjour à tous,
    Voici l'entrelaceur que je cherche à implémenter en VHDL, c'est un entrelaceur convolutionnel fonctionne comme suit

    Il y a trois branches: sur les 2 dernieres il y a plusieurs...
  3. Discussion: fonction cnvintrlv

    par seni404
    Votes reçus
    +0 -0
    Réponses
    0
    Affichages
    535

    fonction cnvintrlv

    j'ai écrit la fonction de convolutionnal interleaver en Matlab 2012 comme ça
    y = convintrlv(x,nrows,slope) avec data = 10
    le probléme c'est qu'il m'affiche juste les 10 premiers données en sortie...
  4. Votes reçus
    +0 -1
    Réponses
    9
    Affichages
    2 691

    type d'entrelaceur

    je cherche à savoir le type d'entrelaceur utilisé dans chacune de ces normes:
    IEEE 802.11
    IEEE 802.16
    IEEE 802.15
    SVP qui a des liens d'articles ou de livres qui contamnent le sujet de...
  5. Votes reçus
    +0 -0
    Réponses
    9
    Affichages
    2 691

    Salut SVP je veux savoir la configuration du...

    Salut
    SVP je veux savoir la configuration du composant à quelle bloc doit étre faite, voila l'exemple ci dessous lui manque la configuartion des composants AND et XOR , SVP si vous pouvez m'aider
    ...
  6. Votes reçus
    +0 -0
    Réponses
    9
    Affichages
    2 691

    Salut, merci pour votre aide mais en utilisant...

    Salut,
    merci pour votre aide mais en utilisant cette solution, il m'affiche l'erreur suivante : Cannot find expanded name "work.shifter17". :cry:
  7. Votes reçus
    +0 -0
    Réponses
    9
    Affichages
    2 691

    Instanciation d'un registre à décalage

    Salut, j'arrive pas à comprendre comment ça fonctionne l'instanciation en VHDL par exemple je veux instancier le registre à décalage "shifter17"


    component shifter17 IS
    PORT
    (
    aclr : IN...
Affichage des résultats 1 à 7 sur 7