IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Index du forum

Recherche:

Type: Messages; Utilisateur: isamel85

Recherche: Recherche effectuée en 0,01 secondes.

  1. Votes reçus
    +0 -0
    Réponses
    3
    Affichages
    2 828

    Bonjour, Je l'ai essayé mais il m'a donné rien...

    Bonjour,
    Je l'ai essayé mais il m'a donné rien au niveau de simulation
    Voilà le test bench:

    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;


    ENTITY test_generator IS
    END test_generator;
  2. Votes reçus
    +0 -0
    Réponses
    3
    Affichages
    2 828

    Générateur parallèle de 4 bits vers un bit série en VHDL

    Bonjour,
    Je veux faire la description en VHDL générateur parallèle de 4 bits vers un bit série.
    En effet, à chaque front d’horloge (250 kHz), nous prenons seulement un bit en commençant par le bit...
  3. Votes reçus
    +0 -0
    Réponses
    2
    Affichages
    1 662

    Retard synthétisable en VHDL

    Bonjour,
    Je veux savoir comment créer un retard de 16 microsecondes synthétisable en VHDL de la sortie synchronisé sur une horloge de 1 MHz (1 microseconde):
    On peut le créer par un process...
  4. Votes reçus
    +0 -0
    Réponses
    1
    Affichages
    1 552

    Convertisseur numérique analogique

    Bonjour,
    En fait je suis en train de chercher un convertisseur numérique analogique (de préférence une carte d'évaluation) pour pouvoir connecter un FPGA avec un générateur du signal RF N9310A (il...
  5. Votes reçus
    +0 -0
    Réponses
    0
    Affichages
    654

    Envoi des données binaires sur le port série vers FPGA utilisant Matlab

    Bonjour,
    En fait, je travaille sur un projet qui a pour but d’implémenter un émetteur/récepteur Zigbee en bande de base reconfigurable sur la plateforme d'évaluation XUPV5-LX110T qui embarque un...
  6. Votes reçus
    +0 -0
    Réponses
    0
    Affichages
    482

    Données venant / allant FPGA et PC (eng: FPGA from / to PC Data)

    Bonjour,
    En fait, je travaille sur un projet qui a pour but d’implémenter un émetteur/récepteur Zigbee en bande de base reconfigurable sur la plateforme d'évaluation XUPV5-LX110T qui embarque un...
Affichage des résultats 1 à 6 sur 11