Bonjour,

La voie

type MonType is array(natural range <>) of std_logic_vector(natural range <>)
n'est pas possible (limitation VHDL :()

Par contre, il existe une autre solution,...