Bonjour j'ai quelque soucis à tester un simple multiplicateur. Je le simule avec cadence, j'ai créé mon vode en VHDL et son test banch mais je ne comprend pas pourquoi j'ai rien en sortie:
mon code...