processeur PPC dans le FPGA virtex2p
J'ai écrit ce simple code en VHDL qui effectue une simple addition:
Code:
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34
| library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------
entity ADDER is
generic(n: natural :=2);
port( A: in std_logic_vector(3 downto 0);
B: in std_logic_vector(3 downto 0);
carry: out std_logic;
sum: out std_logic_vector(3 downto 0)
);
end ADDER;
--------------------------------------------------------
architecture behv of ADDER is
signal result: std_logic_vector(4 downto 0);
begin
-- the 3rd bit should be carry
result <= ('0' & A)+('0' & B);
sum <= result(3 downto 0);
carry <= result(4);
end behv; |
Maintenant je veux intégré le processeur Powerpc (PPC) dans mon fpga xilinx2p pour qu'il prend la valeur de "sum" et effectue une simple soustraction.
J'en ai aucune comment faire. aidez moi svp.
merci.