J'ai écrit ce simple code en VHDL qui effectue une simple addition:


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
...