Salut,


signal signed_16b_data : std_logic_vector(15 downto 0);
signal signed_32b_data : std_logic_vector(31 downto 0);
signal unsigned_16b_data : std_logic_vector(15 downto 0);...