Le premier poste de l'auteur du message date d'il y a deux ans.
Cette reponse pourrait aider d'autres personnes.
Avant tout, il faut savoir que dans un logiciel de simulation, comme...
Type: Messages; Utilisateur: sgievounet
Le premier poste de l'auteur du message date d'il y a deux ans.
Cette reponse pourrait aider d'autres personnes.
Avant tout, il faut savoir que dans un logiciel de simulation, comme...
29 LE's
library ieee;
use ieee.std_logic_1164.all;
entity cardio_8 is
En cnt = 81, on passe en when others, et dans le when others il n'y a rien.
Donc les leds restent en l'etat du when 80.
EDIT: Effectivement, ca ne marcherait pas
Bon courage
J'ai fais une passe dans ton code posté sur le forum d'altera.
L'histoire des 22 elements logiques m'a bien défié.
J'ai un peu modifié ton code et je crois avoir perdu une intervalle en route,...
Salut,
Ce que j'ai écris c'est qu'une bidouille de contournement qui n'a pas de sens en fait...
22 elements logiques ca fait vraiment pas beaucoup...
Les intervalles de valeurs c'est toi qui...
Salut,
J'ai juste un contournement comme solution en passant par une variable.
Éventuellement, si ca a du mal a tenir les contraintes de timing, tu places le test et l'affectation de la...
Justement c'est celle du process qu'il faut laisser...
clock_out<=horloge; sachant que horloge vaut 0, c'est normal qu'il se passe rien sur ta sortie
Cette ligne de code hors process:
clock_out<=horloge;
Ca m'etonne en fait que Quartus ne t'es pas laché une erreurs la dessus. Je n'avais pas percuté hier.
Salut,
Le code a l'air bon.
Tu as essayé de changer de position le switch qui est connecté à ton reset? Ca se trouve tu restes toujours en reset
Sinon, tu as bien renseigné les pins dans le...
A mon avis, tu dois apprendre sur le tas le VHDL....
Par postulat, le falling edge ne s'utilise jamais.
Mais là, faire du falling edge et du rising dans le même process...
A JAMAIS...
Salut
T'as essayé de placer router?
commande <= (( (ecart * Kp) + (cpt_int * Ki)+ ((ecart - ecart_precedent) * Kd) ))/4; --loi de commande PID
A 50MHz, je dirais qu'il va avoir du mal à...
A mon sens, je ne m'y connais pas trop au niveau technologie Altera, à part VHDL sur cible. Je crois qu'ils sont assez impliqué en Open CL.
Peut être que je me trompe, mais pour moi cette...
Salut,
Dans le post précédent, deux solutions ont été mentionnées et elles sont très bonne. (Vivado ou toolbox matlab)
Je te met en garde, si tu n'as pas de connaissance en VHDL et FPGA, ca...
J'ai peut être expedié le commentaire un peu vite, ca se trouve ca peut le faire
Hello,
J'ai pas bien compris si la finalité de ton projet est de coder la stack du bluetooth. Ca m'a l'air assez chaud :)
Il existe un petit composant HC-05 utilisé sur arduino qui se pilote en...
Bonjour,
Je suis ingénieur, je me sers de matlab en traitement du signal: modulation, demodulation, filtrage, analyse de fichiers etc...
Je rejoins la propositions de Libr31 sur la diminution...
Salut,
J'ai déjà codé une fifo, et aussi, j'etais arrivé au même constat du coup de retard sur le full et le empty, il me semble...
Tu pourrais peut être passer par une IP, ou une primitive,...
Peut etre résolu depuis
Ton cout est un std_logic_vector(3 downto 0) sur un port de sortie de l'additionneur et tu le connectes à un port en std_logic du composant add_1... Ca peut pas le...
Dans add_1 ou déclares tu ta variable ou signal "c" ?
Essaye peut être avec cette modification:
result : out std_logic_vector(15 downto 0)
8 x 8 bits donnent 16 bits...
Salut,
Créer un signal s_RBYTEP.
Affecter le résultat dans s_RBYTEP et non RBYTEP.
Et faire un if s_RBYTEP=1 then ....
Ajouter un RBYTEP <= s_RBYTEP; dans l'architecture directement (hors...
Comme contournement rapide je passerai par une variable
i_tmp :=2j+3;
et faire un if i=i_tmp then ....
Salut
Il y a plusieurs solutions de cartes. Tout dépend de comment tu peux t'interfacer.
Les pistes comme choix de cartes:
- carte d'évaluation de chez Analog device
- carte de chez Terrasic...
Dans le premier lien tu trouveras un bout de code qui implémente un correlateur (je ne l'ai pas testé mais j'imagine qu'il tourne, c'est souvent le cas sur ce blog)
...
N'utilise pas de variable type real, le FPGA ne saura faire.
Etape 1: Modifie ton Matlab pour que tout se fasse sans nombre à virgule... Tu multiplies tes entrées par 2^valeur, valeur etant ce qui...
Vous avez un bloqueur de publicités installé.
Le Club Developpez.com n'affiche que des publicités IT, discrètes et non intrusives.
Afin que nous puissions continuer à vous fournir gratuitement du contenu de qualité, merci de nous soutenir en désactivant votre bloqueur de publicités sur Developpez.com.