IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Index du forum

Recherche:

Type: Messages; Utilisateur: sgievounet

Page 1 sur 2 1 2

Recherche: Recherche effectuée en 0,02 secondes.

  1. Votes reçus
    +0 -0
    Réponses
    2
    Affichages
    2 581

    Le premier poste de l'auteur du message date d'il...

    Le premier poste de l'auteur du message date d'il y a deux ans.
    Cette reponse pourrait aider d'autres personnes.

    Avant tout, il faut savoir que dans un logiciel de simulation, comme...
  2. Votes reçus
    +0 -0
    Réponses
    9
    Affichages
    1 568

    29 LE's library ieee; use...

    29 LE's





    library ieee;
    use ieee.std_logic_1164.all;

    entity cardio_8 is
  3. Votes reçus
    +0 -0
    Réponses
    9
    Affichages
    1 568

    En cnt = 81, on passe en when others, et dans le...

    En cnt = 81, on passe en when others, et dans le when others il n'y a rien.

    Donc les leds restent en l'etat du when 80.

    EDIT: Effectivement, ca ne marcherait pas

    Bon courage
  4. Votes reçus
    +0 -0
    Réponses
    9
    Affichages
    1 568

    J'ai fais une passe dans ton code posté sur le...

    J'ai fais une passe dans ton code posté sur le forum d'altera.

    L'histoire des 22 elements logiques m'a bien défié.

    J'ai un peu modifié ton code et je crois avoir perdu une intervalle en route,...
  5. Votes reçus
    +0 -0
    Réponses
    9
    Affichages
    1 568

    Salut, Ce que j'ai écris c'est qu'une...

    Salut,

    Ce que j'ai écris c'est qu'une bidouille de contournement qui n'a pas de sens en fait...

    22 elements logiques ca fait vraiment pas beaucoup...

    Les intervalles de valeurs c'est toi qui...
  6. Votes reçus
    +0 -0
    Réponses
    9
    Affichages
    1 568

    Salut, J'ai juste un contournement comme...

    Salut,

    J'ai juste un contournement comme solution en passant par une variable.

    Éventuellement, si ca a du mal a tenir les contraintes de timing, tu places le test et l'affectation de la...
  7. Votes reçus
    +0 -0
    Réponses
    7
    Affichages
    2 435

    Justement c'est celle du process qu'il faut...

    Justement c'est celle du process qu'il faut laisser...

    clock_out<=horloge; sachant que horloge vaut 0, c'est normal qu'il se passe rien sur ta sortie
  8. Votes reçus
    +0 -0
    Réponses
    7
    Affichages
    2 435

    Cette ligne de code hors process: ...

    Cette ligne de code hors process:



    clock_out<=horloge;


    Ca m'etonne en fait que Quartus ne t'es pas laché une erreurs la dessus. Je n'avais pas percuté hier.
  9. Votes reçus
    +0 -0
    Réponses
    7
    Affichages
    2 435

    pin

    Salut,

    Le code a l'air bon.

    Tu as essayé de changer de position le switch qui est connecté à ton reset? Ca se trouve tu restes toujours en reset

    Sinon, tu as bien renseigné les pins dans le...
  10. Discussion: Bluetooth et vhdl

    par sgievounet
    Votes reçus
    +0 -0
    Réponses
    10
    Affichages
    1 441

    jamais

    A mon avis, tu dois apprendre sur le tas le VHDL....
    Par postulat, le falling edge ne s'utilise jamais.

    Mais là, faire du falling edge et du rising dans le même process...

    A JAMAIS...
  11. Votes reçus
    +0 -0
    Réponses
    4
    Affichages
    3 469

    salut

    Salut

    T'as essayé de placer router?


    commande <= (( (ecart * Kp) + (cpt_int * Ki)+ ((ecart - ecart_precedent) * Kd) ))/4; --loi de commande PID


    A 50MHz, je dirais qu'il va avoir du mal à...
  12. Votes reçus
    +0 -0
    Réponses
    7
    Affichages
    4 950

    ...

    A mon sens, je ne m'y connais pas trop au niveau technologie Altera, à part VHDL sur cible. Je crois qu'ils sont assez impliqué en Open CL.

    Peut être que je me trompe, mais pour moi cette...
  13. Votes reçus
    +0 -0
    Réponses
    7
    Affichages
    4 950

    dis nous en un peu plus

    Salut,

    Dans le post précédent, deux solutions ont été mentionnées et elles sont très bonne. (Vivado ou toolbox matlab)

    Je te met en garde, si tu n'as pas de connaissance en VHDL et FPGA, ca...
  14. Discussion: Bluetooth et vhdl

    par sgievounet
    Votes reçus
    +0 -0
    Réponses
    10
    Affichages
    1 441

    suite

    J'ai peut être expedié le commentaire un peu vite, ca se trouve ca peut le faire
  15. Discussion: Bluetooth et vhdl

    par sgievounet
    Votes reçus
    +0 -0
    Réponses
    10
    Affichages
    1 441

    hc-05

    Hello,

    J'ai pas bien compris si la finalité de ton projet est de coder la stack du bluetooth. Ca m'a l'air assez chaud :)

    Il existe un petit composant HC-05 utilisé sur arduino qui se pilote en...
  16. Votes reçus
    +0 -0
    Réponses
    73
    Affichages
    64 964

    Important : quelques idées

    Bonjour,

    Je suis ingénieur, je me sers de matlab en traitement du signal: modulation, demodulation, filtrage, analyse de fichiers etc...

    Je rejoins la propositions de Libr31 sur la diminution...
  17. Votes reçus
    +0 -1
    Réponses
    2
    Affichages
    2 028

    ip

    Salut,

    J'ai déjà codé une fifo, et aussi, j'etais arrivé au même constat du coup de retard sur le full et le empty, il me semble...

    Tu pourrais peut être passer par une IP, ou une primitive,...
  18. Votes reçus
    +0 -0
    Réponses
    3
    Affichages
    1 305

    ...

    Peut etre résolu depuis



    Ton cout est un std_logic_vector(3 downto 0) sur un port de sortie de l'additionneur et tu le connectes à un port en std_logic du composant add_1... Ca peut pas le...
  19. Votes reçus
    +0 -0
    Réponses
    3
    Affichages
    1 305

    ...

    Dans add_1 ou déclares tu ta variable ou signal "c" ?
  20. Votes reçus
    +0 -0
    Réponses
    1
    Affichages
    1 280

    result

    Essaye peut être avec cette modification:


    result : out std_logic_vector(15 downto 0)

    8 x 8 bits donnent 16 bits...
  21. Votes reçus
    +0 -0
    Réponses
    1
    Affichages
    1 067

    Salut, Créer un signal s_RBYTEP. Affecter...

    Salut,

    Créer un signal s_RBYTEP.

    Affecter le résultat dans s_RBYTEP et non RBYTEP.
    Et faire un if s_RBYTEP=1 then ....
    Ajouter un RBYTEP <= s_RBYTEP; dans l'architecture directement (hors...
  22. Votes reçus
    +0 -0
    Réponses
    1
    Affichages
    682

    variable

    Comme contournement rapide je passerai par une variable

    i_tmp :=2j+3;

    et faire un if i=i_tmp then ....
  23. Votes reçus
    +0 -0
    Réponses
    1
    Affichages
    1 498

    Salut Il y a plusieurs solutions de cartes....

    Salut

    Il y a plusieurs solutions de cartes. Tout dépend de comment tu peux t'interfacer.

    Les pistes comme choix de cartes:
    - carte d'évaluation de chez Analog device
    - carte de chez Terrasic...
  24. Votes reçus
    +0 -0
    Réponses
    8
    Affichages
    1 650

    Dans le premier lien tu trouveras un bout de code...

    Dans le premier lien tu trouveras un bout de code qui implémente un correlateur (je ne l'ai pas testé mais j'imagine qu'il tourne, c'est souvent le cas sur ce blog)
    ...
  25. Votes reçus
    +0 -0
    Réponses
    8
    Affichages
    1 650

    N'utilise pas de variable type real, le FPGA ne...

    N'utilise pas de variable type real, le FPGA ne saura faire.

    Etape 1: Modifie ton Matlab pour que tout se fasse sans nombre à virgule... Tu multiplies tes entrées par 2^valeur, valeur etant ce qui...
Affichage des résultats 1 à 25 sur 28
Page 1 sur 2 1 2