L'industrie du semi-conducteur est toujours à la recherche d'innovations fondamentales pour diminuer ses coûts de production, la consommation des puces produites ou encore pour augmenter leur fréquence. C'est notamment pour cela qu'on a régulièrement droit à de nouveaux processus de fabrication, qui permettent d'imprimer des transistors plus petits sur du silicium. Cependant, on arrive aux limites physiques : il n'est plus possible de faire baisser la tension d'entrée d'un transistor CMOS bien en-dessous d'un demi-volt — vu qu'on s'approche fortement de cette tension, il ne sera plus possible de faire baisser la consommation d'un transistor en le rapetissant. Or, cette famille de transistors est utilisée depuis les années 1960 !

Les effets électromagnétiques sont pour le moment en vogue chez Intel : après la STT-MRAM, voici les transistors MESO (magneto-electric spin orbit). Ceux-ci ont des caractéristiques très intéressantes pour la suite de l'aventure des semi-conducteurs : grande baisse de tension d'entrée (d'un facteur cinq), d'énergie pour passer d'un état à l'autre (d'un facteur dix à trente pour atteindre quelques attojoules), augmentation de densité (aussi d'un facteur cinq), conservation de l'état sans alimentation électrique… mais ils pourraient n'arriver que dans une dizaine d'années (au plus tôt, selon certains commentateurs qui s'attendent plutôt à un délai de douze à quinze ans). Reste à savoir s'il faut en déduire les huit années de développement qu'Intel a déjà accumulées sur le projet.

Un transistor CMOS est contrôlé par sa tension d'entrée (en la faisant varier, le transistor laisse passer du courant ou pas — il passe d'une faible résistance électrique à une très haute) ; avec son équivalent MESO, il s'agirait plutôt d'un champ électromagnétique qui influencerait une capacité de condensateur. Une information binaire ne serait plus un courant électrique ou son absence, mais plutôt l'orientation du spin des électrons qui transitent. Les deux transistors peuvent être fabriqués sur du silicium (pas besoin d'un matériau miracle comme le graphène, dont on vante les progrès depuis longtemps sans les voir arriver en production) : on pourrait donc imaginer une même puce mêler une partie CMOS et une autre MESO.


Cependant, il semblerait que le principal intérêt de MESO soit le type de structure que l'on puisse créer : outre les portes logiques traditionnelles, MESO ouvre la voie vers les portes majoritaires. Elle indique si la majorité de ses entrées prend une valeur booléenne vraie (auquel cas la sortie de la porte est vraie). Créer ce genre d'opération est bien sûr possible avec des transistors CMOS, mais requiert l'assemblage d'un certain nombre de portes logiques — donc d'un très grand nombre de transistors. Or, c'est justement ce que les neurones biologiques effectuent comme opération : ils s'échangent plutôt des impulsions électriques (on parle de réseaux neuronaux d'impulsions quand il s'agit de les modéliser de manière informatique) que des valeurs continues (comme les réseaux neuronaux artificiels actuels). On pourrait donc voir ce genre de transistor utilisé pour des accélérateurs de réseaux neuronaux d'impulsions. La fonction d'activation ReLU (rectified linear unit), à la base de bien des évolutions dans les réseaux neuronaux artificiels depuis les années 1990, s'apparente aussi à ce genre de porte logique : sa sortie indique si l'activation du neurone dépasse un certain seuil.

Or, les calculs effectués dans un réseau neuronal artificiel sont assez basiques : des multiplications matricielles, surtout. On pourrait donc voir assez rapidement des accélérateurs pour ces opérations spécifiques à base de transistors MESO : une telle puce serait bien plus facile à réaliser qu'un processeur complet, puisqu'il ne serait pas nécessaire d'optimiser chaque opération selon les nouvelles possibilités de ces transistors.

Sources : Intel’s Fundamentally New MESO Architecture Could Arrive in a Few Years, Intel’s MESO transistor promises vast leap in AI processing power, With Spintronics, Intel Sees Efficiency, Density Scaling Far Beyond CMOS.