IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

Projet noc VHDL


Sujet :

VHDL

  1. #1
    Nouveau Candidat au Club
    Femme Profil pro
    Étudiant
    Inscrit en
    Janvier 2018
    Messages
    2
    Détails du profil
    Informations personnelles :
    Sexe : Femme
    Âge : 28
    Localisation : France, Moselle (Lorraine)

    Informations professionnelles :
    Activité : Étudiant
    Secteur : Enseignement

    Informations forums :
    Inscription : Janvier 2018
    Messages : 2
    Points : 1
    Points
    1
    Par défaut Projet noc VHDL
    Bonjour tout le monde

    Me voila sur un projet NOC ou je doit construire tout un reseaux.
    J'en suis pour le moment à la création d'un routeur.

    Je ne demande pas que l'on fasse le projet à ma place non loins de la je demande plutot de l'aide pour me mettre sur la piste. J'ai tout a fais compris le principe mais VHDL et moi sa fais compliquer

    Faire une machine d'état sur ce projet m'est difficile car je ne sais trop ou commencer.

    Je vous joint mon début de programme pour l'orientation du message avec le principe XY et de ce que j'ai trouvé théoriquement pour ma machine d'état.

    Merci d'avance pour le coup de pouce a m'aider pour trouver le chemin qui me permettrais de pouvoir programmer ce projet.
    Nom : IMG_0622.jpg
Affichages : 445
Taille : 1,19 Mo


    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    35
    36
    37
    38
    39
    40
    41
    42
    43
    44
    45
    46
    47
    48
    49
    50
    51
    52
    53
    54
    55
    56
    57
    58
    59
    60
    61
    62
    63
    64
    65
    66
    67
    68
    69
    70
    71
    72
    73
    74
    75
    76
    77
    78
    79
    80
    81
    82
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_unsigned.all;
    use ieee.numeric_std;
    use ieee.std_logic_arith.all;
     
    ENTITY NOC is
     
    	generic
    	(
    	Xlocal : std_logic_vector(1 downto 0):="01";
    	Ylocal : std_logic_vector(1 downto 0):="01");
     
     
    	port (clk, RESET: IN std_logic;
    		 SN8, SS8, SE8, SO8 : OUT std_logic_vector(7 downto 0);
    		 EN8, ES8, EE8, EO8 : OUT std_logic_vector(7 downto 0);
     
    		 msg_x,msg_y : std_logic_vector(1 downto 0);
     
    		 Snord, Ssud, Sest, Souest: OUT std_logic;
    		 Enord, Esud, Eest, Eouest : IN std_logic);
     
    end NOC;
     
    Architecture ex OF NOC is 
     
    	SIGNAL X_msg,Y_msg: std_logic_vector(1 downto 0);
    	begin
     
    -- - - - - - - - - - - - - - - - - - - - - - - 
     
    		X :process (clk)
     
    		begin
     
    			X_msg <= msg_x;
     
    			if (clk'event and clk='1')then
     
    				if(Xlocal < X_msg) then 
     
    					Sest <='1';
    					Souest <='0';	
    				elsif (Xlocal > X_msg) then
     
    					Souest <='1';	
    					Sest <='0';
    				else
    					Sest <='0';
    					Souest <='0';
     
    				end if;
    			end if;
    		end process;
     
    -- - - - - - - - - - - - - - - - - - - - - - -
     
    Y :process (clk)
     
    		begin
     
    			Y_msg <= msg_y;
     
    			if (clk'event and clk='1')then
     
    				if(Ylocal < Y_msg) then 
     
    					Snord <='1';
    					Ssud <='0';	
    				elsif (Ylocal > Y_msg) then
     
    					Ssud <='1';	
    					Snord <='0';
    				else
    					Snord <='0';
    					Ssud <='0';
     
    				end if;
    			end if;
    		end process;
    end ex;

  2. #2
    Membre à l'essai
    Homme Profil pro
    Product Apps Engineer
    Inscrit en
    Juillet 2016
    Messages
    13
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Âge : 32
    Localisation : Irlande

    Informations professionnelles :
    Activité : Product Apps Engineer
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Juillet 2016
    Messages : 13
    Points : 16
    Points
    16
    Par défaut
    Bonjour,

    Je ne comprends pas trop le lien entre ton code et ton schematic... esaaye de prendre des noms plus parlants...(ex je ne comprends pas d'ou vienne nord/sud...)

    Je pense qu'il faudrait que tu fasse les blocs (chaque etat) un par un avant de t'attaquer a la machine en elle meme...

    Et si tu veux plus d'aide il faudrait que tu poses plus de questions sur ce que tu ne comprends pas ou la ou tu bloques

  3. #3
    Nouveau Candidat au Club
    Femme Profil pro
    Étudiant
    Inscrit en
    Janvier 2018
    Messages
    2
    Détails du profil
    Informations personnelles :
    Sexe : Femme
    Âge : 28
    Localisation : France, Moselle (Lorraine)

    Informations professionnelles :
    Activité : Étudiant
    Secteur : Enseignement

    Informations forums :
    Inscription : Janvier 2018
    Messages : 2
    Points : 1
    Points
    1
    Par défaut
    bonjour
    tout d'abord merci pour ton interet a mon sujet
    Oui le code est celui que j'ai fais juste pour le routage XY.
    Ma schématic est ma théorie global ou presque..

    Je met avec ce message un programme codé il y a 10 min
    Je suis en train de le simuler il fonctionne pas entièrement mais je suis actuellement dessus.

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    35
    36
    37
    38
    39
    40
    41
    42
    43
    44
    45
    46
    47
    48
    49
    50
    51
    52
    53
    54
    55
    56
    57
    58
    59
    60
    61
    62
    63
    64
    65
    66
    67
    68
    69
    70
    71
    72
    73
    74
    75
    76
    77
    78
    79
    80
    81
    82
    83
    84
    85
    86
    87
    88
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    USE ieee.std_logic_arith.ALL;
    USE ieee.numeric_std.ALL;
    --USE ieee.std_logic_unsigned.ALL;
     
     
    -------------------------------------------------------------------------
    entity noc is
      port 	( 
    				entre, msx_X, msg_Y: in STD_LOGIC_VECTOR (7 DOWNTO 0);
    				sortie : out STD_LOGIC_VECTOR (7 DOWNTO 0);
    				drapeau : out std_logic;
    				lect_OK : out std_logic;
    				reset,clk : in std_logic;
    				buf : out std_logic_vector (7 downto 0)
    			);
    	end noc;
    -------------------------------------------------------------------------
    architecture ex of noc is
     
    signal dat_X : std_logic_vector (7 downto 0);
    signal dat_Y : std_logic_vector (7 downto 0);
     
    signal bufffer : std_logic_vector (7 downto 0); 
    signal flag    : std_logic;
    signal lecture : std_logic; 
     
    type Etat is (Etat_1, Etat_2, Etat_3, Etat_4);
     
     
    	 signal condition : Etat ;
     
    	begin 
     
    	process(clk, reset)
    		begin
     
    	if reset = '1' then
     
    			flag <= '0';
    			lecture <= '0';
    			bufffer <= (others => '0') ;
     
    		elsif (clk'event and clk='1')then 
     
    			case condition is
     
    				when Etat_1 =>
     
    					if entre > "0" then 
     
    						bufffer <= "00000000";
    						condition <= Etat_2;
    					else 
    						condition <= Etat_1;
    					end if;
     
    				when Etat_2 =>
     
    					bufffer <= entre;
    					flag <= '1';
    					condition <= Etat_3;
     
    				when Etat_3 =>
     
    					--lecture MSG
    					lecture <= '0';
    					condition <= Etat_4;
     
    				when Etat_4 => 
     
    					if entre  > "0" then 
    						condition <= Etat_1; 
    					else 
    						flag <= '0' ;
    						lecture <= '1' ;
    						condition <= Etat_4;
    					end if;
    				end case;
    			end if;
    		end process;
     
    	drapeau <= flag;
    	lect_OK <= lecture;
    	buf <= bufffer;
     
    end ex;

Discussions similaires

  1. projet en vhdl
    Par nass956 dans le forum VHDL
    Réponses: 9
    Dernier message: 18/12/2017, 12h51
  2. Qu'est ce qu'un grand projet ?
    Par Geronimo dans le forum Débats sur le développement - Le Best Of
    Réponses: 62
    Dernier message: 04/04/2013, 14h52
  3. Projet iut code vhdl
    Par mathieu_iut_GEII dans le forum VHDL
    Réponses: 1
    Dernier message: 18/02/2013, 15h13
  4. Réponses: 0
    Dernier message: 20/04/2008, 02h06
  5. Emplacement de code source VHDL dans un projet xmp
    Par eya02 dans le forum Windows
    Réponses: 0
    Dernier message: 20/04/2008, 02h06

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo