Bonjour,
j'ai acheté un lecteur RFID6300 qui la version évolué du RFID630 dont voici la spec

http://store.iteadstudio.com/images/...DM630-Spec.pdf

J'ai "branché" directement le transmitter du lecteur au receiver d'un module bluetooth HC-05. Tous deux sont en liaison série par un petit code vhdl, je récupère les données via le terminal Termite.

Le souci c'est que le train de données n'est jamais le même. Je ne récupère même pas le 0x02 d'ouverture. Voici le code VHDL au cas où il y aurait des doutes ... La clock est mise sur les 9600 bauds de transmisssion.
Quelqu'un aurai-il une idée d'où peut provenir l'erreur ?

Dans le lien suivant http://blog.deconinck.info/post/2015...050-vs-RDM6300
Il est indiqué que le Ascii message est composé ainsi :
STX (0x02)
2 ascii chars (version id, yellow area)
8 ascii chars (card number, blue area)
2 ascii chars (CRC)
ETX (0x03)

J'ai aussi regardé ce tuto : http://zjembedded.blogspot.fr/2012/0...dev-board.html
Code : Sélectionner tout - Visualiser dans une fenêtre à part
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.all;

entity test is 
	port(
		clkB       : in std_logic;
		rst        : in std_logic;
		
		rx : in std_logic;
		tx : out std_logic
	);
end entity;

architecture test_b of test is
begin
	-- Catch new detect
	process(clkB,rst)
	begin
		if rst = '1' then
			tx <= '1';
		elsif rising_edge(clkB) then
			tx <= rx;				
		end if;
	end process;
	
end architecture;