Bonjour,
mon code s'execute sur un FPGA Altera Cyclone IV et je souhaite écrire des choses en mémoire. Mon code utilise le processus suivant :
Code : Sélectionner tout - Visualiser dans une fenêtre à part
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
	process(clk)
		variable step : integer := 0;
	begin
		if clk'event and clk='1' then
			if step=0 then
				data <= "00001010";
			else
				data <= "00000000";
			end if;
 
			addr <= std_logic_vector(to_unsigned(step, addr'length));
			wen <= '1';
			step := step+1;
		end if;
	end process;
mais les données écrites ne semblent pas être les bonnes.
Quelqu'un saurait m'aider ?