IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

Registre à decalage à droite


Sujet :

VHDL

  1. #1
    Candidat au Club
    Homme Profil pro
    Étudiant
    Inscrit en
    Décembre 2018
    Messages
    2
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Meurthe et Moselle (Lorraine)

    Informations professionnelles :
    Activité : Étudiant

    Informations forums :
    Inscription : Décembre 2018
    Messages : 2
    Points : 4
    Points
    4
    Par défaut Registre à decalage à droite
    Bonjour,
    Je veux réaliser un registre à décalage à droite qui reçoit à les données en série sur une entrée std_logic et qui fait le décalage à droite sur une sortie 32 bits.
    J'ai déjà codé et simulé mais j'aimerais qu'il complète les bit moins sensible par '0'.
    Cependant, il fait le contraire.
    J'aurais besoin de votre aide SVP!!!
    Vous trouverez ci-joint une capture de la simulation.
    Le code est le suivant:

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    library ieee;
    use ieee.std_logic_1164.all;
    entity RDecalage is
     
     port(SD , e , R ,H : in std_logic;
          Q: out std_logic_vector(31 downto 0):= (others=>'0')
    		);
    end RDecalage;
     
    architecture ArchiRD of RDecalage is
    signal buf:  std_logic_vector(31 downto 0):=(others=>'0');
    begin
     
    process(H, e, R)
    begin
     
    if(H'event and H='1' ) then
      if(R='1') then buf <= (others=>'0');
      else
        if(e='0')then buf<= buf;
    	  else
    	     buf(0)<=SD;
    	     buf(31 downto 1)<= buf(30 downto 0) ;
         end if;
      end if;
    end if;
     
     end process; 
     Q<=buf; 
     
    end ArchiRD;
    Images attachées Images attachées  

+ Répondre à la discussion
Cette discussion est résolue.

Discussions similaires

  1. Modifier le registre et droits administrateur
    Par pol2095 dans le forum Scripts/Batch
    Réponses: 0
    Dernier message: 24/07/2015, 15h23
  2. Registre et Droit ?
    Par islogged dans le forum Windows 8
    Réponses: 6
    Dernier message: 25/05/2014, 09h43
  3. algorithme decalage droit gauche
    Par dhekrasousou dans le forum MATLAB
    Réponses: 6
    Dernier message: 24/04/2011, 23h42
  4. Ecriture dans le registre sans droits
    Par NorexaMS dans le forum API, COM et SDKs
    Réponses: 4
    Dernier message: 29/05/2009, 18h34
  5. ecriture dans le registre sans droit d'admin
    Par Tetzor dans le forum VBScript
    Réponses: 19
    Dernier message: 10/09/2008, 11h42

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo