IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

processeur PPC dans le FPGA virtex2p


Sujet :

VHDL

  1. #1
    Membre à l'essai
    Homme Profil pro
    Inscrit en
    Décembre 2011
    Messages
    14
    Détails du profil
    Informations personnelles :
    Sexe : Homme

    Informations forums :
    Inscription : Décembre 2011
    Messages : 14
    Points : 10
    Points
    10
    Par défaut processeur PPC dans le FPGA virtex2p
    J'ai écrit ce simple code en VHDL qui effectue une simple addition:

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_arith.all;
    use ieee.std_logic_unsigned.all;
     
    --------------------------------------------------------
     
    entity ADDER is
     
    generic(n: natural :=2);
    port(	A:	in std_logic_vector(3 downto 0);
    	B:	in std_logic_vector(3 downto 0);
    	carry:	out std_logic;
    	sum:	out std_logic_vector(3 downto 0)
    );
     
    end ADDER;
     
    --------------------------------------------------------
     
    architecture behv of ADDER is
     
     
    signal result: std_logic_vector(4 downto 0);
     
    begin					  
     
        -- the 3rd bit should be carry
     
        result <= ('0' & A)+('0' & B);
        sum <= result(3 downto 0);
        carry <= result(4);
     
    end behv;
    Maintenant je veux intégré le processeur Powerpc (PPC) dans mon fpga xilinx2p pour qu'il prend la valeur de "sum" et effectue une simple soustraction.
    J'en ai aucune comment faire. aidez moi svp.
    merci.

  2. #2
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 429
    Points
    1 429
    Par défaut
    Salut,

    Tout d'abord ce que tu veut faire est tout a fait faisable.

    1: Installer la suite Xilinx ISE (avec XPS (xilinx Platform Studio) et SDK). Xpd est PAYANT et te permettra d'utiliser le PPC des VirtexII pro.

    2: Creer un projet SDK pour integrer le PPC(+ram +peripheriques..etc)

    3: Creer une projet ISE et instancier le system PPC précédemment créer comme un simple composant VHDL

    4: Connecter (en VHDL) ton aditionneur aux GPIO du system PPC

    5: Synthese, placement routage, programmation FPGA avec ISE

    6: Programmation du PPC (par sonde JTAG je pense) en C/C++ avec SDK

    Bon courage.

    PS=: essai de trouver des tutoriaux sur le Microblaze ou PPC

    @+

Discussions similaires

  1. Réponses: 2
    Dernier message: 31/01/2008, 15h31
  2. Informations processeur dans le registre ?
    Par Général03 dans le forum C++Builder
    Réponses: 10
    Dernier message: 31/10/2007, 20h12
  3. Problème dans le choix d'un processeur
    Par Max Payne dans le forum Composants
    Réponses: 8
    Dernier message: 31/01/2007, 13h15
  4. Réponses: 1
    Dernier message: 28/07/2006, 11h11
  5. température excessive dans le processeur
    Par trotters213 dans le forum Composants
    Réponses: 16
    Dernier message: 09/04/2006, 18h58

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo