IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

Problème avec mon multiplexeur


Sujet :

VHDL

  1. #1
    Candidat au Club
    Homme Profil pro
    Étudiant
    Inscrit en
    Septembre 2011
    Messages
    2
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France

    Informations professionnelles :
    Activité : Étudiant
    Secteur : High Tech - Éditeur de logiciels

    Informations forums :
    Inscription : Septembre 2011
    Messages : 2
    Points : 3
    Points
    3
    Par défaut Problème avec mon multiplexeur
    Bonsoir
    j'ai un probléme sur mon multiplexer si quelqu'un peut m'aider merci
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    35
    36
    37
    38
    39
    40
    41
    42
    43
    44
    45
     
    LIBRARY ieee;
    USE ieee.std_logic_1164.all;
     
    ENTITY multiplexer IS 
     
       PORT
       (
    	-- Les entrées
         R0   : IN STD_LOGIC_VECTOR (15 downto 0);
         R1   : IN STD_LOGIC_VECTOR (15 downto 0);
         R2   : IN STD_LOGIC_VECTOR (15 downto 0);
         R3   : IN STD_LOGIC_VECTOR (15 downto 0);
         R4   : IN STD_LOGIC_VECTOR (15 downto 0);
         R5   : IN STD_LOGIC_VECTOR (15 downto 0);
         R6   : IN STD_LOGIC_VECTOR (15 downto 0);
    	  R7   : IN STD_LOGIC_VECTOR (15 downto 0);
    	  DIN	 : IN STD_LOGIC_VECTOR (15 downto 0);
    	  Gin	 : IN STD_LOGIC_VECTOR (15 downto 0);
     
    		-- Les selecteurs
    	  R	 	 : IN STD_LOGIC_VECTOR (7 downto 0);
    	  Gout	 : IN STD_LOGIC;
    	  DINout	 : IN STD_LOGIC;
     
    	  -- La sortie
    	  S	 	 : OUT STD_LOGIC_VECTOR (15 downto 0)
       );
     
     END multiplexer;
     
     ARCHITECTURE multibhv of multiplexer is
     
     BEGIN
     S <= R0  when R="00000000" and Gout="0" and DINout="0" else
    		R1  when R="01000000" and Gout="0" and DINout="0" else
    		R2  when R="00100000" and Gout="0" and DINout="0" else
    		R3	 when R="00010000" and Gout="0" and DINout="0" else
    		R4  when R="00001000" and Gout="0" and DINout="0" else
    		R5  when R="00000100" and Gout="0" and DINout="0" else
    		R6  when R="00000010" and Gout="0" and DINout="0" else
    		R7  when R="00000001" and Gout="0" and DINout="0" else
    		Gin when R="00000000" and Gout="1" and DINout="0" else
    		DIN ;
     END multibhv;

  2. #2
    Membre actif Avatar de cedd70
    Homme Profil pro
    Ingénieur R&D
    Inscrit en
    Mars 2012
    Messages
    154
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Doubs (Franche Comté)

    Informations professionnelles :
    Activité : Ingénieur R&D
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Mars 2012
    Messages : 154
    Points : 263
    Points
    263
    Par défaut
    Voila j'ai corrigé t'on programme, il fallait utiliser des ' et non "




    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    35
    36
    37
    38
    39
    40
    41
    42
    43
    44
    45
    46
    LIBRARY ieee;
    USE ieee.std_logic_1164.all;
    USE IEEE.STD_LOGIC_ARITH.ALL;
    USE IEEE.STD_LOGIC_UNSIGNED.ALL;
     
    ENTITY multiplexer IS 
     
       PORT
       (
    	-- Les entrées
         R0,
         R1,
         R2,
         R3,
         R4,
         R5,
         R6,
    	 R7,
    	 DIN,
    	 Gin	 : IN STD_LOGIC_VECTOR (15 downto 0);
     
    		-- Les selecteurs
    	  R	 	 	 : IN STD_LOGIC_VECTOR (7 downto 0);
    	  Gout,
    	  DINout	 : IN STD_LOGIC;
     
    	  -- La sortie
    	  S	 	 : OUT STD_LOGIC_VECTOR (15 downto 0)
       );
     
     END multiplexer;
     
     ARCHITECTURE arch_multiplexer of multiplexer is
     
     BEGIN
       S <= R0  when R="00000000" and Gout='0' and DINout='0' else
    		R1  when R="01000000" and Gout='0' and DINout='0' else
    		R2  when R="00100000" and Gout='0' and DINout='0' else
    		R3	when R="00010000" and Gout='0' and DINout='0' else
    		R4  when R="00001000" and Gout='0' and DINout='0' else
    		R5  when R="00000100" and Gout='0' and DINout='0' else
    		R6  when R="00000010" and Gout='0' and DINout='0' else
    		R7  when R="00000001" and Gout='0' and DINout='0' else
    		Gin when R="00000000" and Gout='1' and DINout='0' else
    		DIN ;
     END arch_multiplexer;

+ Répondre à la discussion
Cette discussion est résolue.

Discussions similaires

  1. Réponses: 3
    Dernier message: 21/06/2006, 19h48
  2. [Mail] Problème avec mon script d'envoi de mail
    Par leroivert dans le forum Langage
    Réponses: 18
    Dernier message: 02/12/2005, 00h26
  3. Problème avec mon service mysql et PhpMyAdmin
    Par Fixazo dans le forum Outils
    Réponses: 1
    Dernier message: 28/08/2005, 18h02
  4. problème avec mon lecteur CD
    Par leo13 dans le forum Périphériques
    Réponses: 3
    Dernier message: 16/08/2005, 11h21
  5. Problème avec mon firewall ...
    Par Wis dans le forum Tomcat et TomEE
    Réponses: 15
    Dernier message: 06/04/2004, 08h46

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo