IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Index du forum

Recherche:

Type: Messages; Utilisateur: binome-x

Recherche: Recherche effectuée en 0,02 secondes.

  1. Votes reçus
    +0 -0
    Réponses
    8
    Affichages
    6 710

    Houlala, que d'idioties ! Merci pour ce débogage...

    Houlala, que d'idioties !
    Merci pour ce débogage de base, je manque apparemment d’expérience en VHDL.
  2. Votes reçus
    +0 -0
    Réponses
    8
    Affichages
    6 710

    Désolé de continuer avec mes ennuis mais j'ai de...

    Désolé de continuer avec mes ennuis mais j'ai de nouveau un problème : je voudrais déclarer un signal mais Modelsim me dit que le type pose problème :** Error: D:/work/FFT/cellule/src/butt.vhd(15):...
  3. Votes reçus
    +0 -0
    Réponses
    8
    Affichages
    6 710

    Bon ben merci pour le signalement du ".all"...

    Bon ben merci pour le signalement du ".all" manquant. Erreur d'étourderie !
    Merci aussi pour le +-1, c'est vrai que c'est nettement plus lisible et plus facile à écrire.
  4. Votes reçus
    +0 -0
    Réponses
    8
    Affichages
    6 710

    Bon, j'ai toujours un problème. Mon code : ...

    Bon, j'ai toujours un problème. Mon code :

    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std;

    entity butt is
    generic(
    x_size : integer := 16);
    port(
  5. Votes reçus
    +0 -0
    Réponses
    8
    Affichages
    6 710

    Eh ben, tout ça n'est pas fait pour nous aider !...

    Eh ben, tout ça n'est pas fait pour nous aider !
    En tous cas, merci pour cette explication très claire.
  6. Votes reçus
    +0 -0
    Réponses
    8
    Affichages
    6 710

    Opérations arithmétiques simples

    Bonjour,
    je voudrais faire des opérations arithmétiques simples en VHDL. Mon code est le suivant :

    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_arith.all;
    use...
Affichage des résultats 1 à 6 sur 6