Bonjour,
je vous explique mon soucis.
Je ne suis pas un expert en VHDL, a vrai dire je viens de m'y mettre.
J'ai une chaine d'acquisition qui comporte un capteur et un convertisseur analogique numerique. Cet ADC 16 bits, me convertit une tension analogique a une fréquence de 100KHz.
Ce que j'ai besoin de faire, c'est de créer un vecteur de 128 éléments avec pour chaque élément 16 bits ( peut etre serait il mieux d'utiliser une matrice), et ensuite je dois faire la somme des 128 éléments (codés chacun sur 16 bits) pour en ressortir une valeur décimal. Je dois donc stocker ces 128 * 16 bits avant de les sommer. Alors voila, je ne sais pas trop comment créer ce vecteur de 128 éléments et la place en mémoire dont j'aurais besoin pour faire cette somme (donc de 128 * 16 bits data). A la base j'ai a disposition cette carte d'évaluation : Merci de votre aide