IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

x86 16-bits Assembleur Discussion :

Addition de deux nombre de 128 bits


Sujet :

x86 16-bits Assembleur

  1. #1
    Membre du Club
    Inscrit en
    Mars 2007
    Messages
    67
    Détails du profil
    Informations forums :
    Inscription : Mars 2007
    Messages : 67
    Points : 58
    Points
    58
    Par défaut Addition de deux nombre de 128 bits
    Salut le monde...
    Comment fait-on pour faire l'addition de deux nombre de 128 bits ?
    Assembleur x86
    Merci

  2. #2
    Expert confirmé

    Inscrit en
    Août 2006
    Messages
    3 942
    Détails du profil
    Informations forums :
    Inscription : Août 2006
    Messages : 3 942
    Points : 5 654
    Points
    5 654
    Par défaut
    Jie,

    On décompose les 128 bits en 8 blocs de 16 bits, et on traite les blocs comme on traite chaque chiffre décimal lors d'un calcul manuel.

    Ne pas oublier de tenir compte du signe, etc...
    Si les cons volaient, il ferait nuit à midi.

  3. #3
    Membre confirmé Avatar de dapounet
    Profil pro
    Étudiant
    Inscrit en
    Juillet 2007
    Messages
    469
    Détails du profil
    Informations personnelles :
    Localisation : Belgique

    Informations professionnelles :
    Activité : Étudiant

    Informations forums :
    Inscription : Juillet 2007
    Messages : 469
    Points : 567
    Points
    567
    Par défaut
    En binaire l'addition se fait bit à bit en tenant compte à chaque fois du report qu'il y a eu aux bits précédents. Pour les premiers 16 bits tu peux juste utiliser ADD, puis ADC qui ajoutera automatiquement le report du ADD précédent si il y en a eu un. Par exemple pour additionner DX:AX et CX:BX :
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    add ax, bx
    adc dx, cx
    :wq

Discussions similaires

  1. Multiplication de deux nombres sur 8 bits en VHDL
    Par nissou23 dans le forum VHDL
    Réponses: 4
    Dernier message: 30/01/2013, 20h46
  2. addition de deux nombres
    Par forum dans le forum Télécharger
    Réponses: 5
    Dernier message: 14/12/2012, 13h05
  3. Réponses: 13
    Dernier message: 10/10/2010, 19h38
  4. Réponses: 2
    Dernier message: 19/04/2010, 17h12
  5. Nombre de 128 bits
    Par Elendhil dans le forum Langage
    Réponses: 2
    Dernier message: 24/05/2007, 17h00

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo