Bonjour,
je voudrais additionner un integer A à un std_logic_vector B pour obtenir un integer C et tout ça en utilisant seulement ce préambule :
C'est possible ? Comment ?
Code : Sélectionner tout - Visualiser dans une fenêtre à part
1
2
3 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all;
Merci.
Partager