IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

Echange de données HPS <-> FPGA via DMA


Sujet :

VHDL

  1. #1
    Expert confirmé
    Homme Profil pro
    Étudiant
    Inscrit en
    Juin 2012
    Messages
    1 711
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France

    Informations professionnelles :
    Activité : Étudiant

    Informations forums :
    Inscription : Juin 2012
    Messages : 1 711
    Points : 4 442
    Points
    4 442
    Par défaut Echange de données HPS <-> FPGA via DMA
    Hello,

    J'essaie de faire de la détection de contours sur une vidéo.

    En théorie, c'est simple :
    coté HPS :
    lire / décoder une vidéo avec openCV (c'est compatible ARM je crois).
    copier la vidéo: sdram -> sram (par block de x ko, ou ligne par ligne, ou frame par frame); DMA synchro avec des interruptions.

    coté FPGA
    :
    traitement des données.

    FPGA ou HPS (je sais pas trop, un peu perdu) :
    copier le résultat : sram -> sdram (pareil, par block de x ko, ou ligne par ligne, ou frame par frame); DMA synchro avec des interruptions.

    En pratique par contre je suis complètement perdu.
    Le traitement des données coté FPGA ne pose pas de problèmes (c'est juste de l'algorithmie), et de toutes façons j'en suis pas encore là.
    Par contre les copies, ou rien que l’interaction HPS <-> FPGA, je bloque.

    Qsys reste assez obsur mais je vois le principe et j'ai testé quelques systèmes avec un soft core NIOS II qui ont marchés du premier coup (presque ).

    Du coup j'essaie juste la première partie : copier des données de la sdram vers le FPGA.

    Mon système (Qsys) :


    Bridges de l'HPS :


    Et les périphériques :

    J'ai aucune idée de que qu'est cet énorme tableau excel "Periph Mux Table", j'ai laissé tout par défaut.

    Niveau config HPS clock / DDR3, pas de problèmes. Normalement ce que j'ai est bon.

    Avec ça, je devrais pouvoir copier des données de la ram vers un buffer sur le FPGA, puis lire la sram en debug via la connexion JTAG / UART. (Je crois ?)

    Pour l'instant ça compile (avec 200 warnings, mais ça compile ). Il me reste le placement des pins à faire et je pourrais tester ça.

    Mais j'ai un problème à ce niveau là.

    Mon entité principale :
    Code VHDL : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    entity vid is
    	port(
    		-- ...
    		CONNECTED_TO_memory_mem_ck                : out   std_logic;                                        -- mem_ck
    		CONNECTED_TO_memory_mem_ck_n              : out   std_logic;                                        -- mem_ck_n
    		-- ...
    	);
    end entity vid;
    -- ...
    mem_ck et mem_ck_n forment une paire différentielle.

    J'ai des warnings parce que j'ai pas attribué les pins encore (normal).
    Au niveau des warnings mem_ck et mem_ck_n sont listés 1 fois.


    Mais, ces signaux apparaissent en double dans le pin planner, et sont non assignables


    Du coup, j'y comprend pas grand chose et Google est pas très bavard là dessus.

    Si quelqu'un à une piste je prend !

    Mon code complet, si jamais c'est utile : j'ai simplement copier / coller le template d’instanciation de Qsys
    Code VHDL : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    35
    36
    37
    38
    39
    40
    41
    42
    43
    44
    45
    46
    47
    48
    49
    50
    51
    52
    53
    54
    55
    56
    57
    58
    59
    60
    61
    62
    63
    64
    65
    66
    67
    68
    69
    70
    71
    72
    73
    74
    75
    76
    77
    78
    79
    80
    81
    82
    83
    84
    85
    86
    87
    88
    89
    90
    91
    92
    93
    94
    95
    96
    97
    98
    99
    100
    101
    102
    103
    104
    105
    106
    107
    108
    109
    110
    111
    112
    113
    114
    115
    116
    117
    118
    119
    120
    121
    122
    123
    124
    125
    126
    127
    128
    129
    130
    131
    132
    133
    134
    135
    136
    137
    138
    139
    140
    141
    142
    143
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;
     
    entity vid is
    	port(
    		CONNECTED_TO_clk_clk                      : in    std_logic                     := 'X';             -- clk
    		CONNECTED_TO_reset_reset_n                : in    std_logic                     := 'X';             -- reset_n
    		CONNECTED_TO_memory_mem_a                 : out   std_logic_vector(12 downto 0);                    -- mem_a
    		CONNECTED_TO_memory_mem_ba                : out   std_logic_vector(2 downto 0);                     -- mem_ba
    		CONNECTED_TO_memory_mem_ck                : out   std_logic;                                        -- mem_ck
    		CONNECTED_TO_memory_mem_ck_n              : out   std_logic;                                        -- mem_ck_n
    		CONNECTED_TO_memory_mem_cke               : out   std_logic;                                        -- mem_cke
    		CONNECTED_TO_memory_mem_cs_n              : out   std_logic;                                        -- mem_cs_n
    		CONNECTED_TO_memory_mem_ras_n             : out   std_logic;                                        -- mem_ras_n
    		CONNECTED_TO_memory_mem_cas_n             : out   std_logic;                                        -- mem_cas_n
    		CONNECTED_TO_memory_mem_we_n              : out   std_logic;                                        -- mem_we_n
    		CONNECTED_TO_memory_mem_reset_n           : out   std_logic;                                        -- mem_reset_n
    		CONNECTED_TO_memory_mem_dq                : inout std_logic_vector(7 downto 0)  := (others => 'X'); -- mem_dq
    		CONNECTED_TO_memory_mem_dqs               : inout std_logic                     := 'X';             -- mem_dqs
    		CONNECTED_TO_memory_mem_dqs_n             : inout std_logic                     := 'X';             -- mem_dqs_n
    		CONNECTED_TO_memory_mem_odt               : out   std_logic;                                        -- mem_odt
    		CONNECTED_TO_memory_mem_dm                : out   std_logic;                                        -- mem_dm
    		CONNECTED_TO_memory_oct_rzqin             : in    std_logic                     := 'X';             -- oct_rzqin
    		CONNECTED_TO_hps_io_hps_io_sdio_inst_CMD  : inout std_logic                     := 'X';             -- hps_io_sdio_inst_CMD
    		CONNECTED_TO_hps_io_hps_io_sdio_inst_D0   : inout std_logic                     := 'X';             -- hps_io_sdio_inst_D0
    		CONNECTED_TO_hps_io_hps_io_sdio_inst_D1   : inout std_logic                     := 'X';             -- hps_io_sdio_inst_D1
    		CONNECTED_TO_hps_io_hps_io_sdio_inst_CLK  : out   std_logic;                                        -- hps_io_sdio_inst_CLK
    		CONNECTED_TO_hps_io_hps_io_sdio_inst_D2   : inout std_logic                     := 'X';             -- hps_io_sdio_inst_D2
    		CONNECTED_TO_hps_io_hps_io_sdio_inst_D3   : inout std_logic                     := 'X';             -- hps_io_sdio_inst_D3
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_D0   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D0
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_D1   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D1
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_D2   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D2
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_D3   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D3
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_D4   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D4
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_D5   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D5
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_D6   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D6
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_D7   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D7
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK  : in    std_logic                     := 'X';             -- hps_io_usb1_inst_CLK
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_STP  : out   std_logic;                                        -- hps_io_usb1_inst_STP
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_DIR  : in    std_logic                     := 'X';             -- hps_io_usb1_inst_DIR
    		CONNECTED_TO_hps_io_hps_io_usb1_inst_NXT  : in    std_logic                     := 'X';             -- hps_io_usb1_inst_NXT
    		CONNECTED_TO_hps_io_hps_io_uart0_inst_RX  : in    std_logic                     := 'X';             -- hps_io_uart0_inst_RX
    		CONNECTED_TO_hps_io_hps_io_uart0_inst_TX  : out   std_logic;                                        -- hps_io_uart0_inst_TX
    		CONNECTED_TO_hps_io_hps_io_uart0_inst_CTS : in    std_logic                     := 'X';             -- hps_io_uart0_inst_CTS
    		CONNECTED_TO_hps_io_hps_io_uart0_inst_RTS : out   std_logic                                         -- hps_io_uart0_inst_RTS
    	);
    end entity vid;
     
    architecture arch_vid of vid is
     
    	component vid_system is
            port (
                clk_clk                      : in    std_logic                     := 'X';             -- clk
                reset_reset_n                : in    std_logic                     := 'X';             -- reset_n
                memory_mem_a                 : out   std_logic_vector(12 downto 0);                    -- mem_a
                memory_mem_ba                : out   std_logic_vector(2 downto 0);                     -- mem_ba
                memory_mem_ck                : out   std_logic;                                        -- mem_ck
                memory_mem_ck_n              : out   std_logic;                                        -- mem_ck_n
                memory_mem_cke               : out   std_logic;                                        -- mem_cke
                memory_mem_cs_n              : out   std_logic;                                        -- mem_cs_n
                memory_mem_ras_n             : out   std_logic;                                        -- mem_ras_n
                memory_mem_cas_n             : out   std_logic;                                        -- mem_cas_n
                memory_mem_we_n              : out   std_logic;                                        -- mem_we_n
                memory_mem_reset_n           : out   std_logic;                                        -- mem_reset_n
                memory_mem_dq                : inout std_logic_vector(7 downto 0)  := (others => 'X'); -- mem_dq
                memory_mem_dqs               : inout std_logic                     := 'X';             -- mem_dqs
                memory_mem_dqs_n             : inout std_logic                     := 'X';             -- mem_dqs_n
                memory_mem_odt               : out   std_logic;                                        -- mem_odt
                memory_mem_dm                : out   std_logic;                                        -- mem_dm
                memory_oct_rzqin             : in    std_logic                     := 'X';             -- oct_rzqin
                hps_io_hps_io_sdio_inst_CMD  : inout std_logic                     := 'X';             -- hps_io_sdio_inst_CMD
                hps_io_hps_io_sdio_inst_D0   : inout std_logic                     := 'X';             -- hps_io_sdio_inst_D0
                hps_io_hps_io_sdio_inst_D1   : inout std_logic                     := 'X';             -- hps_io_sdio_inst_D1
                hps_io_hps_io_sdio_inst_CLK  : out   std_logic;                                        -- hps_io_sdio_inst_CLK
                hps_io_hps_io_sdio_inst_D2   : inout std_logic                     := 'X';             -- hps_io_sdio_inst_D2
                hps_io_hps_io_sdio_inst_D3   : inout std_logic                     := 'X';             -- hps_io_sdio_inst_D3
                hps_io_hps_io_usb1_inst_D0   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D0
                hps_io_hps_io_usb1_inst_D1   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D1
                hps_io_hps_io_usb1_inst_D2   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D2
                hps_io_hps_io_usb1_inst_D3   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D3
                hps_io_hps_io_usb1_inst_D4   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D4
                hps_io_hps_io_usb1_inst_D5   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D5
                hps_io_hps_io_usb1_inst_D6   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D6
                hps_io_hps_io_usb1_inst_D7   : inout std_logic                     := 'X';             -- hps_io_usb1_inst_D7
                hps_io_hps_io_usb1_inst_CLK  : in    std_logic                     := 'X';             -- hps_io_usb1_inst_CLK
                hps_io_hps_io_usb1_inst_STP  : out   std_logic;                                        -- hps_io_usb1_inst_STP
                hps_io_hps_io_usb1_inst_DIR  : in    std_logic                     := 'X';             -- hps_io_usb1_inst_DIR
                hps_io_hps_io_usb1_inst_NXT  : in    std_logic                     := 'X';             -- hps_io_usb1_inst_NXT
                hps_io_hps_io_uart0_inst_RX  : in    std_logic                     := 'X';             -- hps_io_uart0_inst_RX
                hps_io_hps_io_uart0_inst_TX  : out   std_logic;                                        -- hps_io_uart0_inst_TX
                hps_io_hps_io_uart0_inst_CTS : in    std_logic                     := 'X';             -- hps_io_uart0_inst_CTS
                hps_io_hps_io_uart0_inst_RTS : out   std_logic                                         -- hps_io_uart0_inst_RTS
            );
        end component vid_system;
     
    begin
     
    	u0 : component vid_system
            port map (
                clk_clk                      => CONNECTED_TO_clk_clk,                      --    clk.clk
                reset_reset_n                => CONNECTED_TO_reset_reset_n,                --  reset.reset_n
                memory_mem_a                 => CONNECTED_TO_memory_mem_a,                 -- memory.mem_a
                memory_mem_ba                => CONNECTED_TO_memory_mem_ba,                --       .mem_ba
                memory_mem_ck                => CONNECTED_TO_memory_mem_ck,                --       .mem_ck
                memory_mem_ck_n              => CONNECTED_TO_memory_mem_ck_n,              --       .mem_ck_n
                memory_mem_cke               => CONNECTED_TO_memory_mem_cke,               --       .mem_cke
                memory_mem_cs_n              => CONNECTED_TO_memory_mem_cs_n,              --       .mem_cs_n
                memory_mem_ras_n             => CONNECTED_TO_memory_mem_ras_n,             --       .mem_ras_n
                memory_mem_cas_n             => CONNECTED_TO_memory_mem_cas_n,             --       .mem_cas_n
                memory_mem_we_n              => CONNECTED_TO_memory_mem_we_n,              --       .mem_we_n
                memory_mem_reset_n           => CONNECTED_TO_memory_mem_reset_n,           --       .mem_reset_n
                memory_mem_dq                => CONNECTED_TO_memory_mem_dq,                --       .mem_dq
                memory_mem_dqs               => CONNECTED_TO_memory_mem_dqs,               --       .mem_dqs
                memory_mem_dqs_n             => CONNECTED_TO_memory_mem_dqs_n,             --       .mem_dqs_n
                memory_mem_odt               => CONNECTED_TO_memory_mem_odt,               --       .mem_odt
                memory_mem_dm                => CONNECTED_TO_memory_mem_dm,                --       .mem_dm
                memory_oct_rzqin             => CONNECTED_TO_memory_oct_rzqin,             --       .oct_rzqin
                hps_io_hps_io_sdio_inst_CMD  => CONNECTED_TO_hps_io_hps_io_sdio_inst_CMD,  -- hps_io.hps_io_sdio_inst_CMD
                hps_io_hps_io_sdio_inst_D0   => CONNECTED_TO_hps_io_hps_io_sdio_inst_D0,   --       .hps_io_sdio_inst_D0
                hps_io_hps_io_sdio_inst_D1   => CONNECTED_TO_hps_io_hps_io_sdio_inst_D1,   --       .hps_io_sdio_inst_D1
                hps_io_hps_io_sdio_inst_CLK  => CONNECTED_TO_hps_io_hps_io_sdio_inst_CLK,  --       .hps_io_sdio_inst_CLK
                hps_io_hps_io_sdio_inst_D2   => CONNECTED_TO_hps_io_hps_io_sdio_inst_D2,   --       .hps_io_sdio_inst_D2
                hps_io_hps_io_sdio_inst_D3   => CONNECTED_TO_hps_io_hps_io_sdio_inst_D3,   --       .hps_io_sdio_inst_D3
                hps_io_hps_io_usb1_inst_D0   => CONNECTED_TO_hps_io_hps_io_usb1_inst_D0,   --       .hps_io_usb1_inst_D0
                hps_io_hps_io_usb1_inst_D1   => CONNECTED_TO_hps_io_hps_io_usb1_inst_D1,   --       .hps_io_usb1_inst_D1
                hps_io_hps_io_usb1_inst_D2   => CONNECTED_TO_hps_io_hps_io_usb1_inst_D2,   --       .hps_io_usb1_inst_D2
                hps_io_hps_io_usb1_inst_D3   => CONNECTED_TO_hps_io_hps_io_usb1_inst_D3,   --       .hps_io_usb1_inst_D3
                hps_io_hps_io_usb1_inst_D4   => CONNECTED_TO_hps_io_hps_io_usb1_inst_D4,   --       .hps_io_usb1_inst_D4
                hps_io_hps_io_usb1_inst_D5   => CONNECTED_TO_hps_io_hps_io_usb1_inst_D5,   --       .hps_io_usb1_inst_D5
                hps_io_hps_io_usb1_inst_D6   => CONNECTED_TO_hps_io_hps_io_usb1_inst_D6,   --       .hps_io_usb1_inst_D6
                hps_io_hps_io_usb1_inst_D7   => CONNECTED_TO_hps_io_hps_io_usb1_inst_D7,   --       .hps_io_usb1_inst_D7
                hps_io_hps_io_usb1_inst_CLK  => CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK,  --       .hps_io_usb1_inst_CLK
                hps_io_hps_io_usb1_inst_STP  => CONNECTED_TO_hps_io_hps_io_usb1_inst_STP,  --       .hps_io_usb1_inst_STP
                hps_io_hps_io_usb1_inst_DIR  => CONNECTED_TO_hps_io_hps_io_usb1_inst_DIR,  --       .hps_io_usb1_inst_DIR
                hps_io_hps_io_usb1_inst_NXT  => CONNECTED_TO_hps_io_hps_io_usb1_inst_NXT,  --       .hps_io_usb1_inst_NXT
                hps_io_hps_io_uart0_inst_RX  => CONNECTED_TO_hps_io_hps_io_uart0_inst_RX,  --       .hps_io_uart0_inst_RX
                hps_io_hps_io_uart0_inst_TX  => CONNECTED_TO_hps_io_hps_io_uart0_inst_TX,  --       .hps_io_uart0_inst_TX
                hps_io_hps_io_uart0_inst_CTS => CONNECTED_TO_hps_io_hps_io_uart0_inst_CTS, --       .hps_io_uart0_inst_CTS
                hps_io_hps_io_uart0_inst_RTS => CONNECTED_TO_hps_io_hps_io_uart0_inst_RTS  --       .hps_io_uart0_inst_RTS
            );
     
    end architecture arch_vid;

  2. #2
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 429
    Points
    1 429
    Par défaut
    Pour l'instant ça compile (avec 200 warnings, mais ça compile )
    Un avantage des outils de synthese FPGA c'est qu'ils génèrent beaucoup de warning.
    On peut donc voir tous les points suspicieux du design.

    Un désavantage des outils de synthese FPGA c'est qu'ils génèrent beaucoup de warning.
    Il est donc difficile d'extraire les warnings pertinents dans tout le bruit de warning généré...
    200 Warnings cela ne me choque pas..

    Sur certain FPGA (Arria V/Zynq) le contrôleur DDR3 est en dur dans le Silicium. Les Pins sont donc fixes.
    Ce warning sur ces pins non fixées n'est pas nécessairement pertinent...


    Quand tu dits compil, tu veux dire synthèse/placement/routage?
    Ou bien compilation d'un logiciel?

  3. #3
    Expert confirmé
    Homme Profil pro
    Étudiant
    Inscrit en
    Juin 2012
    Messages
    1 711
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France

    Informations professionnelles :
    Activité : Étudiant

    Informations forums :
    Inscription : Juin 2012
    Messages : 1 711
    Points : 4 442
    Points
    4 442
    Par défaut
    Citation Envoyé par mith06 Voir le message
    Un avantage des outils de synthese FPGA c'est qu'ils génèrent beaucoup de warning.
    On peut donc voir tous les points suspicieux du design.

    Un désavantage des outils de synthese FPGA c'est qu'ils génèrent beaucoup de warning.
    Il est donc difficile d'extraire les warnings pertinents dans tout le bruit de warning généré...
    Ouais, habitué du C++ j'aime bien les warnings (généralement un warning == une erreur); mais là yen a plein d'inutile, genre "Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature."...

    Puis qu'est ce que c'est long à compiler aussi ><

    Citation Envoyé par mith06 Voir le message
    Sur certain FPGA (Arria V/Zynq) le contrôleur DDR3 est en dur dans le Silicium. Les Pins sont donc fixes.
    Ce warning sur ces pins non fixées n'est pas nécessairement pertinent...
    J'ai une De0 nano SoC (Cyclone V donc).
    A priori le contrôleur DDR3 est en dur. En tout cas ça serait logique vu que seul l'HPS à accès à la RAM.

    Ce qui m'inquiète un peu c'est ce warning
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.


    Citation Envoyé par mith06 Voir le message
    Quand tu dits compil, tu veux dire synthèse/placement/routage?
    Ou bien compilation d'un logiciel?
    Je parle de synthèse / placement / routage. Le coté logiciel j'y suis pas encore.

    Génération du système sous Qsys (grave ? pas grave ?) :


    Et synthèse/placement/routage : ~200 warnings.

    Critical Warnings (grave ? pas grave ?) :
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    Critical Warning (169085): No exact pin location assignment(s) for 39 pins of 61 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report.
    Critical Warning (174073): No exact pin location assignment(s) for 1 RUP, RDN, or RZQ pins of 1 total RUP, RDN or RZQ pins
    	Info (174074): RUP, RDN, or RZQ pin CONNECTED_TO_memory_oct_rzqin not assigned to an exact location on the device
    	Info (174074): RUP, RDN, or RZQ pin CONNECTED_TO_memory_oct_rzqin not assigned to an exact location on the device
    -> IO Assignment Warnings dans mon 1er post + plein de signaux auxquels il manque slew rate / drive strength.

    Warnings :
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    35
    36
    37
    38
    39
    40
    41
    42
    43
    44
    45
    46
    47
    48
    49
    50
    51
    52
    53
    54
    55
    56
    57
    58
    59
    60
    61
    62
    63
    64
    65
    66
    67
    68
    69
    70
    71
    72
    73
    74
    75
    76
    77
    78
    79
    80
    81
    82
    83
    84
    85
    86
    87
    88
    89
    90
    91
    92
    93
    94
    95
    96
    97
    98
    99
    100
    101
    102
    103
    104
    105
    106
    107
    108
    109
    110
    111
    112
    113
    114
    115
    116
    117
    118
    119
    120
    121
    122
    123
    124
    125
    126
    127
    128
    129
    130
    131
    132
    133
    134
    135
    136
    137
    138
    139
    140
    141
    142
    143
    144
    145
    146
    147
    148
    149
    150
    151
    152
    153
    154
    155
    156
    157
    158
    159
    160
    161
    162
    163
    164
    165
    166
    167
    168
    169
    170
    171
    172
    173
    174
    175
    176
    177
    178
    179
    180
    181
    182
    183
    184
    185
    186
    187
    188
    189
    190
    191
    192
    193
    194
    195
    196
    197
    198
    199
    200
    201
    202
    203
    204
    205
    206
    207
    208
    209
    210
    211
    212
    213
    214
    215
    216
    217
    218
    219
    220
    221
    222
    223
    224
    225
    226
    227
    228
    229
    230
    231
    232
    233
    234
    235
    236
    237
    238
    239
    240
    241
    242
    243
    244
    245
    246
    247
    248
    249
    250
    251
    252
    253
    254
    255
    256
    257
    258
    259
    260
    261
    262
    263
    264
    265
    266
    267
    268
    269
    270
    271
    272
    273
    274
    275
    276
    277
    278
    279
    280
    281
    282
    283
    284
    285
    286
    287
    288
    289
    290
    291
    292
    293
    294
    295
    296
    297
    298
    299
    300
    301
    302
    303
    304
    305
    306
    307
    308
    309
    310
    311
    312
    313
    314
    315
    316
    317
    318
    319
    320
    321
    322
    323
    324
    325
    326
    327
    328
    329
    330
    331
    332
    333
    334
    335
    336
    337
    338
    339
    340
    341
    342
    343
    344
    345
    346
    347
    348
    349
    350
    351
    352
    353
    354
    355
    356
    357
    358
    359
    360
    361
    362
    363
    364
    365
    366
    367
    368
    369
    370
    371
    372
    373
    374
    375
    376
    377
    378
    379
    380
    381
    382
    383
    384
    385
    386
    387
    388
    389
    390
    391
    392
    Warning (10236): Verilog HDL Implicit Net warning at hps_sdram_pll.sv(168): created implicit net for "pll_dr_clk"
    Warning (10036): Verilog HDL or VHDL warning at hps_sdram_pll.sv(168): object "pll_dr_clk" assigned a value but never read
    Warning (10034): Output port "pll_locked" at hps_sdram_pll.sv(91) has no driver
    Warning (10858): Verilog HDL warning at hps_sdram_p0_acv_hard_memphy.v(420): object reset_n_seq_clk used but never assigned
    Warning (10230): Verilog HDL assignment warning at hps_sdram_p0_acv_hard_memphy.v(557): truncated value with size 4 to match size of target (1)
    Warning (10030): Net "reset_n_seq_clk" at hps_sdram_p0_acv_hard_memphy.v(420) has no driver or initial value, using a default initial value '0'
    Warning (10034): Output port "ctl_reset_export_n" at hps_sdram_p0_acv_hard_memphy.v(222) has no driver
    Warning (10036): Verilog HDL or VHDL warning at hps_sdram_p0_acv_ldc.v(45): object "phy_clk_dq" assigned a value but never read
    Warning (10036): Verilog HDL or VHDL warning at hps_sdram_p0_acv_ldc.v(47): object "phy_clk_dqs_2x" assigned a value but never read
    Warning (10034): Output port "ddio_phy_dqdin[179..32]" at hps_sdram_p0_acv_hard_io_pads.v(191) has no driver
    Warning (12158): Entity "altera_mem_if_hhp_qseq_synth_top" contains only dangling pins
    Warning (10230): Verilog HDL assignment warning at altera_mem_if_hard_memory_controller_top_cyclonev.sv(1166): truncated value with size 320 to match size of target (1)
    Warning (10230): Verilog HDL assignment warning at altera_mem_if_hard_memory_controller_top_cyclonev.sv(1167): truncated value with size 320 to match size of target (1)
    Warning (10230): Verilog HDL assignment warning at altera_mem_if_hard_memory_controller_top_cyclonev.sv(1168): truncated value with size 320 to match size of target (1)
    Warning (10230): Verilog HDL assignment warning at altera_mem_if_hard_memory_controller_top_cyclonev.sv(1169): truncated value with size 320 to match size of target (1)
    Warning (10230): Verilog HDL assignment warning at altera_mem_if_hard_memory_controller_top_cyclonev.sv(1170): truncated value with size 320 to match size of target (1)
    Warning (10230): Verilog HDL assignment warning at altera_mem_if_hard_memory_controller_top_cyclonev.sv(1171): truncated value with size 320 to match size of target (1)
    Warning (10036): Verilog HDL or VHDL warning at vid_system_master_fpga_timing_adt.sv(82): object "in_ready" assigned a value but never read
    Warning (10036): Verilog HDL or VHDL warning at vid_system_master_fpga_b2p_adapter.sv(78): object "out_channel" assigned a value but never read
    Warning (10230): Verilog HDL assignment warning at vid_system_master_fpga_b2p_adapter.sv(90): truncated value with size 8 to match size of target (1)
    Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(283): object "in_write" assigned a value but never read
    Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(283): object "in_write" assigned a value but never read
    Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(283): object "in_write" assigned a value but never read
    Warning (10541): VHDL Signal Declaration warning at vid_system_rst_controller_001.vhd(55): used implicit default value for signal "reset_req" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
    Warning (12241): 35 hierarchies have connectivity warnings - see the Connectivity Checks report folder
    Warning (13009): TRI or OPNDRN buffers permanently enabled
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[0]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[1]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[2]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[3]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[4]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[5]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[6]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[7]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dqs~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dqs_n~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_sdio_inst_CMD~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_sdio_inst_D0~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_sdio_inst_D1~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_sdio_inst_D2~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_sdio_inst_D3~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D0~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D1~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D2~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D3~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D4~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D5~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D6~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D7~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[0]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[1]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[2]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[3]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[4]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[5]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[6]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dq[7]~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dqs~synth"
    	Warning (13010): Node "CONNECTED_TO_memory_mem_dqs_n~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_sdio_inst_CMD~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_sdio_inst_D0~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_sdio_inst_D1~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_sdio_inst_D2~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_sdio_inst_D3~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D0~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D1~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D2~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D3~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D4~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D5~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D6~synth"
    	Warning (13010): Node "CONNECTED_TO_hps_io_hps_io_usb1_inst_D7~synth"
    Warning (21300): LOCKED port on the PLL is not properly connected on instance "vid_system:u0|vid_system_pll_0:pll_0|altera_pll:altera_pll_i|general[0].gpll". The LOCKED port on the PLL should be connected when the FBOUTCLK port is connected. Although it is unnecessary to connect the LOCKED signal, any logic driven off of an output clock of the PLL will not know when the PLL is locked and ready.
    Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
    Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
    Warning (332174): Ignored filter at hps_sdram_p0.sdc(551): *:u0|*:hps_0|*:hps_io|*:border|*:hps_sdram_inst|*s0|* could not be matched with a clock or keeper or register or port or pin or cell or partition
    Warning (332049): Ignored set_false_path at hps_sdram_p0.sdc(551): Argument <from> is not an object ID
    	Info (332050): set_false_path -from ${prefix}|*s0|* -to [get_clocks $local_pll_write_clk]
    	Info (332050): set_false_path -from ${prefix}|*s0|* -to [get_clocks $local_pll_write_clk]
    Warning (332174): Ignored filter at hps_sdram_p0.sdc(552): *:u0|*:hps_0|*:hps_io|*:border|*:hps_sdram_inst|*s0|*hphy_bridge_s0_translator|av_readdata_pre[*] could not be matched with a clock or keeper or register or port or pin or cell or partition
    Warning (332049): Ignored set_false_path at hps_sdram_p0.sdc(552): Argument <to> is not an object ID
    	Info (332050): set_false_path -from [get_clocks $local_pll_write_clk] -to ${prefix}|*s0|*hphy_bridge_s0_translator|av_readdata_pre[*]
    	Info (332050): set_false_path -from [get_clocks $local_pll_write_clk] -to ${prefix}|*s0|*hphy_bridge_s0_translator|av_readdata_pre[*]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(1): hps_io_hps_io_sdio_inst_CMD could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(1): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_CMD] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_CMD] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(2): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_CMD]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_CMD]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(3): hps_io_hps_io_sdio_inst_D0 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(3): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D0] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D0] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(4): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D0]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D0]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(5): hps_io_hps_io_sdio_inst_D1 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(5): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D1] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D1] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(6): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D1]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D1]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(7): hps_io_hps_io_sdio_inst_CLK could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(7): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_CLK]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_CLK]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(8): hps_io_hps_io_sdio_inst_D2 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(8): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D2] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D2] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(9): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D2]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D2]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(10): hps_io_hps_io_sdio_inst_D3 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(10): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D3] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D3] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(11): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D3]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D3]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(12): hps_io_hps_io_usb1_inst_D0 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(12): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D0] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D0] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(13): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D0]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D0]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(14): hps_io_hps_io_usb1_inst_D1 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(14): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D1] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D1] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(15): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D1]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D1]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(16): hps_io_hps_io_usb1_inst_D2 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(16): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D2] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D2] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(17): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D2]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D2]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(18): hps_io_hps_io_usb1_inst_D3 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(18): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D3] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D3] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(19): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D3]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D3]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(20): hps_io_hps_io_usb1_inst_D4 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(20): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D4] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D4] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(21): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D4]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D4]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(22): hps_io_hps_io_usb1_inst_D5 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(22): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D5] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D5] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(23): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D5]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D5]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(24): hps_io_hps_io_usb1_inst_D6 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(24): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D6] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D6] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(25): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D6]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D6]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(26): hps_io_hps_io_usb1_inst_D7 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(26): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D7] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D7] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(27): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D7]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D7]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(28): hps_io_hps_io_usb1_inst_CLK could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(28): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_CLK] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_CLK] -to *
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(29): hps_io_hps_io_usb1_inst_STP could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(29): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_STP]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_STP]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(30): hps_io_hps_io_usb1_inst_DIR could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(30): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_DIR] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_DIR] -to *
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(31): hps_io_hps_io_usb1_inst_NXT could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(31): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_NXT] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_NXT] -to *
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(32): hps_io_hps_io_uart0_inst_RX could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(32): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_uart0_inst_RX] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_uart0_inst_RX] -to *
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(33): hps_io_hps_io_uart0_inst_TX could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(33): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_uart0_inst_TX]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_uart0_inst_TX]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(34): hps_io_hps_io_uart0_inst_CTS could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(34): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_uart0_inst_CTS] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_uart0_inst_CTS] -to *
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(35): hps_io_hps_io_uart0_inst_RTS could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(35): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_uart0_inst_RTS]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_uart0_inst_RTS]
    Warning (332060): Node: CONNECTED_TO_clk_clk was determined to be a clock but was found without an associated clock assignment.
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk
    Warning (332060): Node: CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK was determined to be a clock but was found without an associated clock assignment.
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_hps_io:hps_io|vid_system_hps_0_hps_io_border:border|usb1_inst~FF_3474 is being clocked by CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_hps_io:hps_io|vid_system_hps_0_hps_io_border:border|usb1_inst~FF_3474 is being clocked by CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK
    Warning (332056): PLL cross checking found inconsistent PLL clock settings:
    	Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    	Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.
    Warning (332174): Ignored filter at hps_sdram_p0.sdc(551): *:u0|*:hps_0|*:hps_io|*:border|*:hps_sdram_inst|*s0|* could not be matched with a clock or keeper or register or port or pin or cell or partition
    Warning (332049): Ignored set_false_path at hps_sdram_p0.sdc(551): Argument <from> is not an object ID
    	Info (332050): set_false_path -from ${prefix}|*s0|* -to [get_clocks $local_pll_write_clk]
    	Info (332050): set_false_path -from ${prefix}|*s0|* -to [get_clocks $local_pll_write_clk]
    Warning (332174): Ignored filter at hps_sdram_p0.sdc(552): *:u0|*:hps_0|*:hps_io|*:border|*:hps_sdram_inst|*s0|*hphy_bridge_s0_translator|av_readdata_pre[*] could not be matched with a clock or keeper or register or port or pin or cell or partition
    Warning (332049): Ignored set_false_path at hps_sdram_p0.sdc(552): Argument <to> is not an object ID
    	Info (332050): set_false_path -from [get_clocks $local_pll_write_clk] -to ${prefix}|*s0|*hphy_bridge_s0_translator|av_readdata_pre[*]
    	Info (332050): set_false_path -from [get_clocks $local_pll_write_clk] -to ${prefix}|*s0|*hphy_bridge_s0_translator|av_readdata_pre[*]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(1): hps_io_hps_io_sdio_inst_CMD could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(1): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_CMD] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_CMD] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(2): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_CMD]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_CMD]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(3): hps_io_hps_io_sdio_inst_D0 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(3): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D0] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D0] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(4): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D0]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D0]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(5): hps_io_hps_io_sdio_inst_D1 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(5): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D1] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D1] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(6): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D1]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D1]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(7): hps_io_hps_io_sdio_inst_CLK could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(7): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_CLK]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_CLK]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(8): hps_io_hps_io_sdio_inst_D2 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(8): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D2] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D2] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(9): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D2]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D2]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(10): hps_io_hps_io_sdio_inst_D3 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(10): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D3] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_sdio_inst_D3] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(11): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D3]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_sdio_inst_D3]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(12): hps_io_hps_io_usb1_inst_D0 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(12): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D0] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D0] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(13): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D0]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D0]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(14): hps_io_hps_io_usb1_inst_D1 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(14): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D1] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D1] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(15): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D1]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D1]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(16): hps_io_hps_io_usb1_inst_D2 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(16): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D2] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D2] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(17): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D2]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D2]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(18): hps_io_hps_io_usb1_inst_D3 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(18): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D3] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D3] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(19): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D3]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D3]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(20): hps_io_hps_io_usb1_inst_D4 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(20): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D4] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D4] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(21): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D4]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D4]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(22): hps_io_hps_io_usb1_inst_D5 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(22): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D5] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D5] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(23): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D5]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D5]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(24): hps_io_hps_io_usb1_inst_D6 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(24): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D6] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D6] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(25): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D6]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D6]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(26): hps_io_hps_io_usb1_inst_D7 could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(26): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D7] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_D7] -to *
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(27): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D7]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_D7]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(28): hps_io_hps_io_usb1_inst_CLK could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(28): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_CLK] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_CLK] -to *
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(29): hps_io_hps_io_usb1_inst_STP could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(29): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_STP]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_usb1_inst_STP]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(30): hps_io_hps_io_usb1_inst_DIR could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(30): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_DIR] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_DIR] -to *
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(31): hps_io_hps_io_usb1_inst_NXT could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(31): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_NXT] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_usb1_inst_NXT] -to *
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(32): hps_io_hps_io_uart0_inst_RX could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(32): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_uart0_inst_RX] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_uart0_inst_RX] -to *
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(33): hps_io_hps_io_uart0_inst_TX could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(33): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_uart0_inst_TX]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_uart0_inst_TX]
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(34): hps_io_hps_io_uart0_inst_CTS could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(34): Argument <from> is an empty collection
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_uart0_inst_CTS] -to *
    	Info (332050): set_false_path -from [get_ports hps_io_hps_io_uart0_inst_CTS] -to *
    Warning (332174): Ignored filter at vid_system_hps_0_hps_io_border.sdc(35): hps_io_hps_io_uart0_inst_RTS could not be matched with a port
    Warning (332049): Ignored set_false_path at vid_system_hps_0_hps_io_border.sdc(35): Argument <to> is an empty collection
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_uart0_inst_RTS]
    	Info (332050): set_false_path -from * -to [get_ports hps_io_hps_io_uart0_inst_RTS]
    Warning (332060): Node: CONNECTED_TO_clk_clk was determined to be a clock but was found without an associated clock assignment.
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk
    Warning (332060): Node: CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK was determined to be a clock but was found without an associated clock assignment.
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_hps_io:hps_io|vid_system_hps_0_hps_io_border:border|usb1_inst~FF_3474 is being clocked by CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_hps_io:hps_io|vid_system_hps_0_hps_io_border:border|usb1_inst~FF_3474 is being clocked by CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK
    Warning (332056): PLL cross checking found inconsistent PLL clock settings:
    	Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    	Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    Warning (332060): Node: CONNECTED_TO_clk_clk was determined to be a clock but was found without an associated clock assignment.
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk
    Warning (332060): Node: CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK was determined to be a clock but was found without an associated clock assignment.
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_hps_io:hps_io|vid_system_hps_0_hps_io_border:border|usb1_inst~FF_3474 is being clocked by CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_hps_io:hps_io|vid_system_hps_0_hps_io_border:border|usb1_inst~FF_3474 is being clocked by CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK
    Warning (332056): PLL cross checking found inconsistent PLL clock settings:
    	Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    	Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    Warning (332060): Node: CONNECTED_TO_clk_clk was determined to be a clock but was found without an associated clock assignment.
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk
    Warning (332060): Node: CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK was determined to be a clock but was found without an associated clock assignment.
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_hps_io:hps_io|vid_system_hps_0_hps_io_border:border|usb1_inst~FF_3474 is being clocked by CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_hps_io:hps_io|vid_system_hps_0_hps_io_border:border|usb1_inst~FF_3474 is being clocked by CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK
    Warning (332056): PLL cross checking found inconsistent PLL clock settings:
    	Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    	Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    Warning (332060): Node: CONNECTED_TO_clk_clk was determined to be a clock but was found without an associated clock assignment.
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk
    Warning (332060): Node: CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK was determined to be a clock but was found without an associated clock assignment.
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_hps_io:hps_io|vid_system_hps_0_hps_io_border:border|usb1_inst~FF_3474 is being clocked by CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK
    	Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_hps_io:hps_io|vid_system_hps_0_hps_io_border:border|usb1_inst~FF_3474 is being clocked by CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK
    Warning (332056): PLL cross checking found inconsistent PLL clock settings:
    	Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    	Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    Warning (10905): Generated the EDA functional simulation files although EDA timing simulation option is chosen.

  4. #4
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 429
    Points
    1 429
    Par défaut
    Voici les warnings qui puent plus que les autres :

    Warning (10541): VHDL Signal Declaration warning at vid_system_rst_controller_001.vhd(55): used implicit default value for signal "reset_req" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.


    Warning (332060): Node: CONNECTED_TO_clk_clk was determined to be a clock but was found without an associated clock assignment.
    Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk
    Info (13166): Register vid_system:u0|vid_system_hps_0:hps_0|vid_system_hps_0_fpga_interfaces:fpga_interfaces|hps2fpga_light_weight~FF_3425 is being clocked by CONNECTED_TO_clk_clk

    Warning (332056): PLL cross checking found inconsistent PLL clock settings:
    Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    Warning (332056): Node: u0|pll_0|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 200.000
    En espérant aider
    @+

Discussions similaires

  1. [FPGA] transfert donnée FPGA -> RT via DMA
    Par lalouche dans le forum LabVIEW
    Réponses: 4
    Dernier message: 02/09/2015, 09h25
  2. Réponses: 1
    Dernier message: 05/05/2011, 14h20
  3. Echange de données via un modem RTC
    Par kaiser_mn dans le forum C#
    Réponses: 0
    Dernier message: 28/10/2008, 10h29
  4. echange de donnè via reseaux
    Par TheBlackReverand dans le forum VB.NET
    Réponses: 10
    Dernier message: 18/05/2007, 11h02
  5. [Kylix] Echange de données entre fiches
    Par _dack_ dans le forum EDI
    Réponses: 1
    Dernier message: 01/07/2003, 11h34

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo