Bonjour à tous, c'est encore moi et mon VHDL
Je vous expose le probleme:
Ce bous de code marche :
Le probleme, c'est que si j'ajoute un autre port, ça ne se complie plus :s
Code : Sélectionner tout - Visualiser dans une fenêtre à part
1
2
3
4
5
6
7
8
9
10
11
12 entity MUX_DISP is port (TIME_DATA : in std_logic_vector(3 downto 0); ALARM_DATA : in std_logic_vector(3 downto 0); SET_DATA : in std_logic_vector(3 downto 0); SHOW_A : in std_logic; SHOW_T : in std_logic; ALARM_ON : in std_logic; SOUND_A : out std_logic; DISPLAY : out std_logic_vector(3 downto 0)); end MUX_DISP;
je suis perdu :s
Code : Sélectionner tout - Visualiser dans une fenêtre à part
1
2
3
4
5
6
7
8
9
10
11
12 entity MUX_DISP is port (TIME_DATA : in std_logic_vector(3 downto 0); ALARM_DATA : in std_logic_vector(3 downto 0); SET_DATA : in std_logic_vector(3 downto 0); SHOW_A : in std_logic; SHOW_T : in std_logic; ALARM_ON : in std_logic; SOUND_A : out std_logic; DISPLAY : out std_logic_vector(3 downto 0)); DISP_SEG : out std_logic_vector(4 downto 0)); end MUX_DISP;
de l'aide SVP, je ne pense pas trouvé une solution tout seul cette fois
Partager