IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

Object b of mode OUT can not be read


Sujet :

VHDL

  1. #1
    Futur Membre du Club
    Femme Profil pro
    Étudiant
    Inscrit en
    Décembre 2014
    Messages
    7
    Détails du profil
    Informations personnelles :
    Sexe : Femme
    Localisation : Tunisie

    Informations professionnelles :
    Activité : Étudiant

    Informations forums :
    Inscription : Décembre 2014
    Messages : 7
    Points : 5
    Points
    5
    Par défaut Object b of mode OUT can not be read
    Bonjour,

    En implémentant mon code VHDL l'erreur suivante m'apparait

    Line 16. Object b of mode OUT can not be read 
    Aidez moi svp j'arrive plus à l'identifier :/

    voici mon code qui fait une comparaison avec la valeur 5:

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    USE ieee.numeric_std.ALL;
     
    entity additionneur is
    Port ( a : in STD_LOGIC_VECTOR (3 downto 0);
    k : out std_logic ;
    b : out integer);
    end additionneur;
     
    architecture Behavioral of additionneur is
     
    begin
     
    b <= to_integer(signed(a));
    k <= '1' WHEN b= 5 ELSE '0';
     
     
    end Behavioral;
    Merci

  2. #2
    Futur Membre du Club
    Femme Profil pro
    Étudiant
    Inscrit en
    Décembre 2014
    Messages
    7
    Détails du profil
    Informations personnelles :
    Sexe : Femme
    Localisation : Tunisie

    Informations professionnelles :
    Activité : Étudiant

    Informations forums :
    Inscription : Décembre 2014
    Messages : 7
    Points : 5
    Points
    5
    Par défaut
    Qui peut me répondre svp j'en ai vraiment besoin pour mon projet et j'arrive plus à identifier le problème...

  3. #3
    Membre actif Avatar de cedd70
    Homme Profil pro
    Ingénieur R&D
    Inscrit en
    Mars 2012
    Messages
    154
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Doubs (Franche Comté)

    Informations professionnelles :
    Activité : Ingénieur R&D
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Mars 2012
    Messages : 154
    Points : 263
    Points
    263
    Par défaut
    tu viens lire une sortie ..

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    USE ieee.numeric_std.ALL;
     
    entity additionneur is
    Port ( a : in STD_LOGIC_VECTOR (3 downto 0);
    k : out std_logic 
    end additionneur;
     
    architecture Behavioral of additionneur is
     
    begin
     
    k <= '1' WHEN a= X"5" ELSE '0';
     
     
    end Behavioral;

  4. #4
    Futur Membre du Club
    Homme Profil pro
    rêveur
    Inscrit en
    Mars 2015
    Messages
    6
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Vienne (Poitou Charente)

    Informations professionnelles :
    Activité : rêveur

    Informations forums :
    Inscription : Mars 2015
    Messages : 6
    Points : 7
    Points
    7
    Par défaut
    Alternativement, tu peux définir le port `b` comme un buffer, c’est à dire une sortie que tu as le droit de lire.

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    USE ieee.numeric_std.ALL;
     
    entity additionneur is
    	Port ( a : in STD_LOGIC_VECTOR (3 downto 0);
    	k : out std_logic ;
    	b : buffer integer);
    end additionneur;
     
    architecture Behavioral of additionneur is
    begin
    	b <= to_integer(signed(a));
    	k <= '1' WHEN b= 5 ELSE '0';
    end Behavioral;

Discussions similaires

  1. Réponses: 1
    Dernier message: 03/07/2008, 11h38
  2. Erreur Listener : can not fork
    Par Alain B. dans le forum Administration
    Réponses: 5
    Dernier message: 23/05/2008, 13h58
  3. the import org.jdom can not be resolved
    Par samia13 dans le forum Eclipse Java
    Réponses: 1
    Dernier message: 19/05/2007, 16h47
  4. [VBA-E] Erreur "Object variable or With block variable not set"
    Par @lex(is) dans le forum Macros et VBA Excel
    Réponses: 7
    Dernier message: 08/06/2006, 12h39
  5. Réponses: 14
    Dernier message: 23/08/2004, 07h53

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo