Bonjour,
En implémentant mon code VHDL l'erreur suivante m'apparait
Line 16. Object b of mode OUT can not be read
Aidez moi svp j'arrive plus à l'identifier :/
voici mon code qui fait une comparaison avec la valeur 5:
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19
| LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
entity additionneur is
Port ( a : in STD_LOGIC_VECTOR (3 downto 0);
k : out std_logic ;
b : out integer);
end additionneur;
architecture Behavioral of additionneur is
begin
b <= to_integer(signed(a));
k <= '1' WHEN b= 5 ELSE '0';
end Behavioral; |
Merci
Partager