IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

produit en croix


Sujet :

VHDL

  1. #1
    Candidat au Club
    Homme Profil pro
    Étudiant
    Inscrit en
    Mai 2014
    Messages
    2
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Âge : 30
    Localisation : France, Loire (Rhône Alpes)

    Informations professionnelles :
    Activité : Étudiant
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Mai 2014
    Messages : 2
    Points : 2
    Points
    2
    Par défaut produit en croix
    bonjour a tous et merci de m'accepter parmi vous
    voila j'ai un projet
    Je doit générer un PWM a fréquence variable ainsi que rapport cyclique variable a l'aide d'un FPGA.
    je touche bientôt au but mais je suis tomber sur un problème.
    lorsque je programme je découpe mes tache en plusieurs sous programme donc pour éviter que vous passiez 4 jour a lire tous le code je vous mettrai seulement le code intéressant.
    voila mon problème:
    mon programme est un simple compteur sur ma fréquence que je fait varier, une fois arriver a la fin de mon comptage la sortie est inverser et sa recommence.
    je voudrait donc faire une mise a l'echelle je m'explique:
    lorsque l'utilisateur choisie sa fréquence je voudrait la convertir en valeur du compteur par un simple produit en croix.

    valeur_compteur <= freq_in/freq_user;

    le truc c'est qu'il n'est pas très conseiller de faire un multiplication en VHDL donc si quelq'un a une idée merci d'avance.

  2. #2
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 431
    Points
    1 431
    Par défaut
    Bonjour,
    le truc c'est qu'il n'est pas très conseiller de faire un multiplication en VHDL donc si quelq'un a une idée merci d'avance.
    Il n'y a pas de problème a utiliser un multiplieur dans un FPGA...

    Je doit générer un PWM a fréquence variable ainsi que rapport cyclique variable a l'aide d'un FPGA.
    Voila comment je fais:

    Le component PWM possède 2 entrées de même taille, DUTY et PERIOD, et une sortir PWM

    PERIOD correspond à la valeur max que va atteindre un compteur avant d'etre reseter à zero.
    DUTY est nécessairement inférieur ou égal PERIOD et DUTY/PERDIO indique la valeur de rapport cyclique.
    PWM est la comparaison entre le compteur interne et DUTY.

  3. #3
    Candidat au Club
    Homme Profil pro
    Étudiant
    Inscrit en
    Mai 2014
    Messages
    2
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Âge : 30
    Localisation : France, Loire (Rhône Alpes)

    Informations professionnelles :
    Activité : Étudiant
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Mai 2014
    Messages : 2
    Points : 2
    Points
    2
    Par défaut
    re bonjour a tous et merci d'avoir répondu
    mais se que tu me dit je l'ai déjà fait sa il fallait juste que vous m'aidiez pour la division que j'ai mis au dessus qui me pose problème
    se calcule permet d'éviter a l'utilisateur de calculer a chaque fois la valeur du compteur.
    dis moi si vous ne comprenner pas mon problème

  4. #4
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 431
    Points
    1 431
    Par défaut
    Quand je ne peux pas éviter la division ou d'autres calculs compliqués j'utilise une ROM, avec les valeurs précalculées.

Discussions similaires

  1. erreur :"une exception s'est produite"
    Par leborg dans le forum ASP
    Réponses: 11
    Dernier message: 02/03/2004, 15h09
  2. [info]Licence des produits Java
    Par XavierZERO dans le forum Général Java
    Réponses: 12
    Dernier message: 16/01/2004, 16h27
  3. Supprimer la croix dans une fenêtre modale
    Par AnneOlga dans le forum C++Builder
    Réponses: 3
    Dernier message: 15/01/2004, 14h52
  4. Faire le produit de 2 colonnes
    Par toure32 dans le forum SQL Procédural
    Réponses: 2
    Dernier message: 07/11/2003, 20h47
  5. [Dev-Pascal] Ne produit pas d'exe
    Par portix dans le forum Autres IDE
    Réponses: 8
    Dernier message: 02/07/2003, 15h14

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo