Bonjour à tous , est ce que vous pouvez me répondre a cet question
pourquoi si je la simulation aprés un test bench automatique " No Processes Running" ce message s'affiche et il n'y a pas de simulation ?
voici le code:
Nom : Sans titre.png
Affichages : 149
Taille : 42,1 Ko

Code : Sélectionner tout - Visualiser dans une fenêtre à part
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
----------------------------------------------------------------------------------
-- Company: 
-- Engineer: 
-- 
-- Create Date:    17:42:33 05/08/2014 
-- Design Name: 
-- Module Name:    note_pays - Behavioral 
-- Project Name: 
-- Target Devices: 
-- Tool versions: 
-- Description: 
--
-- Dependencies: 
--
-- Revision: 
-- Revision 0.01 - File Created
-- Additional Comments: 
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
 
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
 
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
entity note_pays is
generic( p : integer := 5 );
port(
sel,CLK ,RAZ :in std_logic; 
note_critere: in std_logic_vector( 3 downto 0);
n_p:inout std_logic_vector( p-1 downto 0)
);
end note_pays;
architecture Behavioral of note_pays is
begin
process(CLK,RAZ) 
begin
if (CLK'event and CLK= '1') then
if  ((RAZ = '1' ) and (sel='0')) then n_p <= "00000";
elsif ( ( RAZ ='0' ) and (sel='0') ) then n_p <= n_p;
elsif ( ((RAZ= '0') or  (RAZ= '1')) and sel='1') then n_p <= n_p + note_critere;
end if;
end if;
end process ;
end Behavioral;