IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

pas de simulation


Sujet :

VHDL

  1. #1
    Membre à l'essai
    Homme Profil pro
    alternance STMicroelectronics
    Inscrit en
    Novembre 2013
    Messages
    21
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Meurthe et Moselle (Lorraine)

    Informations professionnelles :
    Activité : alternance STMicroelectronics
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Novembre 2013
    Messages : 21
    Points : 18
    Points
    18
    Par défaut pas de simulation
    Bonjour a tous,

    Je suis en train de me prendre la tete sur un truc qui peut paraitre simple a permiere vue mais l'approche du week end n'aide pas a ma concentration ^^

    Voila j'ai cree plusieurs signaux en VHDL pour pouvoir les utiliser pour mes futurs testbench. J'ai donc cree un signal sinusoidal, carre, triangulaire , etc,...

    Mon probleme est le suivant, je n'arrive pas a avoir une resolution assez precise sur mes signaux, ou alors quand je change la resolution le signal n'a pas la bonne frequence. Je vous donne le code que j'ai ecrit pour un signal triangulaire et la courbe que j'obtiens en simulation lorsque je choisis comme frequence 100 000 000 Hz:

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    35
    36
    37
    38
    39
    40
    41
    42
    43
    44
    45
    46
    47
    48
    49
    50
    51
    52
    53
    54
    library ieee;
    use ieee.std_logic_1164 .all;
    use ieee.std_logic_arith.all;
    use ieee.std_logic_unsigned.all;
    use ieee.math_real.all;
     
    entity triangle_generator is
     
     generic(AMP: real;
      OFFSET: real;
      FREQ: real;
      PHASE :real);
     
     port(wave1: out real);
     
    end triangle_generator;
     
    architecture archi of triangle_generator is
     
    signal tmp1 : real := 0.0;
     
     
    begin
     
     P1:process
     
     constant delta   : real := 1000.0e-12;
     constant fin  : time := 1000 ps;
     
     variable angle   : real := 0.0;
     variable t    : real := 0.0;
     variable PHASE_RAD : real := 0.0;
     
     begin
     
       PHASE_RAD := (math_2_pi * PHASE)/360.0;
     
      loop
       angle   := math_2_pi * FREQ * t;
       t := t + delta;
     
       tmp1 <= OFFSET+(AMP/2.0)*(2.0/math_pi)*arcsin(sin(angle));
     
       wait for fin;
     
      end loop;
     
      wait;
     
     end process P1;
     
     wave1 <= tmp1;
     
    end archi;
    Nom : Capture.PNG
Affichages : 74
Taille : 11,4 Ko

    Pouvez-vous me dire ce que vous en pensez s'il vous plait ? Merci d'avance

  2. #2
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 431
    Points
    1 431
    Par défaut
    Par défaut le pas de simulation doit être de 1 ns.
    Regarde comment le changer.

  3. #3
    Membre à l'essai
    Homme Profil pro
    alternance STMicroelectronics
    Inscrit en
    Novembre 2013
    Messages
    21
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Meurthe et Moselle (Lorraine)

    Informations professionnelles :
    Activité : alternance STMicroelectronics
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Novembre 2013
    Messages : 21
    Points : 18
    Points
    18
    Par défaut
    Citation Envoyé par mith06 Voir le message
    Par défaut le pas de simulation doit être de 1 ns.
    Regarde comment le changer.
    J'ai trouve comment avoir la bonne resolution et la bonne frequence, il fallait jouer sur la constante delta mais aussi sur la constante fin.

    Merci de ta reponse!

Discussions similaires

  1. Réponses: 0
    Dernier message: 23/04/2013, 22h02
  2. Programmer encore en VB 6 c'est pas bien ? Pourquoi ?
    Par Nektanebos dans le forum Débats sur le développement - Le Best Of
    Réponses: 85
    Dernier message: 10/03/2009, 14h43
  3. Pas de margin-top lors de la simulation de position:fixed sous IE
    Par franculo_caoulene dans le forum Mise en page CSS
    Réponses: 5
    Dernier message: 04/12/2007, 14h39
  4. Réponses: 4
    Dernier message: 08/04/2007, 20h59

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo