IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

FLOAT POINT IN VHDL


Sujet :

VHDL

  1. #1
    Candidat au Club
    Homme Profil pro
    Développeur en systèmes embarqués
    Inscrit en
    Février 2014
    Messages
    4
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Âge : 34
    Localisation : Algérie

    Informations professionnelles :
    Activité : Développeur en systèmes embarqués
    Secteur : High Tech - Éditeur de logiciels

    Informations forums :
    Inscription : Février 2014
    Messages : 4
    Points : 3
    Points
    3
    Par défaut FLOAT POINT IN VHDL
    bonjour les développeurs

    je passe directement a mon problème :

    Je suis entrain de faire un faire code vhdl pour la distance euclidienne donc utiliser virgule flottant

    moi je déclarer juste pour le tst ce code :
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    entity tst32 is
    port (f : in std_logic_vector (31 downto 0);
    		s : out std_logic_vector (31 downto 0)
    		);
    end tst32;
     
    architecture Behavioral of tst32 is
     
    begin
      s<= f;
     
    end Behavioral;
    0 error et 0 error en simulate mais le problème c'est ça :

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    isim force add {/tst32/f} 10101011001010111010101011011010 -radix bin 
    /tst32/f: Could not convert given string value 10101011001010111010101011011010 to an appropriate value.
    help plzzzzz + pour les operations de opérations de virgule flottant ??

  2. #2
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 429
    Points
    1 429
    Par défaut
    Bonjour,

    Pour valider ton entité écrit-toi plutôt un test bench.
    Le calcul flottant n'est pas natif en VHDL synthétisable. Tu peux utiliser le mot clef real pour des constantes, ou pour de la simulation.
    Sinon il faut utiliser/designer une fpu.

  3. #3
    Candidat au Club
    Homme Profil pro
    Développeur en systèmes embarqués
    Inscrit en
    Février 2014
    Messages
    4
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Âge : 34
    Localisation : Algérie

    Informations professionnelles :
    Activité : Développeur en systèmes embarqués
    Secteur : High Tech - Éditeur de logiciels

    Informations forums :
    Inscription : Février 2014
    Messages : 4
    Points : 3
    Points
    3
    Par défaut
    bonjour
    ce code juste un exemple, mon code est : add/sub des nombres flottants puis MUP et enfin SQRT pour calculer la "DIS-EUCLIDIENNE" et implémenter en SPARTAN 3E, le calcul flottent (réel n'est pas synthétisable) alors il faut utiliser les nombres flottent (32 bit) donc comment je fais faire ce code ? et comment synthétiser ?

  4. #4
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 429
    Points
    1 429
    Par défaut
    Le calcul flottant n'est pas natif en VHDL synthétisable. Tu peux utiliser le mot clef real pour des constantes, ou pour de la simulation.
    Sinon il faut utiliser/designer une fpu.

Discussions similaires

  1. Floating point exception dans dlopen()
    Par Invité dans le forum C++
    Réponses: 0
    Dernier message: 10/06/2008, 09h56
  2. Message "(1.5) is not a valid floating point value."
    Par moukah2 dans le forum Débuter
    Réponses: 6
    Dernier message: 22/12/2007, 11h18
  3. Envoi de mail avec Indy : message "Invalid floating point"
    Par Nillak dans le forum Web & réseau
    Réponses: 8
    Dernier message: 03/12/2007, 17h20
  4. Microsoft Basic floating point format
    Par hercule4 dans le forum Général Python
    Réponses: 3
    Dernier message: 06/05/2007, 18h18
  5. Invalid floating point operation
    Par declencher dans le forum Langage
    Réponses: 5
    Dernier message: 30/10/2005, 23h46

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo