IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

Convertir un code C en VHDL


Sujet :

VHDL

  1. #1
    Futur Membre du Club
    Inscrit en
    Septembre 2011
    Messages
    6
    Détails du profil
    Informations forums :
    Inscription : Septembre 2011
    Messages : 6
    Points : 6
    Points
    6
    Par défaut Convertir un code C en VHDL
    Bonjour à tous,

    S'il vous plaît, je veux savoir s'il y a un compilateur qui permet de transformer un code c en vhdl.

    merci d'avance.

  2. #2
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 429
    Points
    1 429
    Par défaut
    Xilinx a un outil pour faire ça, voir Vivado HLS.
    Mathworks aussi a un toolbox pour Matlab pour générer du vhdl.

    Mais dans tous les cas (de mon point de vu) cela revient à faire de la description de circuit en C, pour ensuite la convertir en VHDL. Donc mieux vaut directement faire du VHDL....
    Le code C n'est dans la plus part des cas pas directement traduisible en VHDL.

  3. #3
    Membre à l'essai
    Femme Profil pro
    Étudiant
    Inscrit en
    Février 2015
    Messages
    15
    Détails du profil
    Informations personnelles :
    Sexe : Femme
    Âge : 32
    Localisation : Tunisie

    Informations professionnelles :
    Activité : Étudiant
    Secteur : Enseignement

    Informations forums :
    Inscription : Février 2015
    Messages : 15
    Points : 16
    Points
    16
    Par défaut conversion du C++ en VHDL
    Bonjour,
    Je veux convertir un code généré sous C++ vers VHDL automatiquement mais j'ai pas trouvé l'outil convenable.
    Je me demande si vous pouvez m'aider ??
    mercii d'avance

  4. #4
    Nouveau membre du Club
    Profil pro
    Développeur en systèmes embarqués
    Inscrit en
    Avril 2008
    Messages
    28
    Détails du profil
    Informations personnelles :
    Localisation : France

    Informations professionnelles :
    Activité : Développeur en systèmes embarqués

    Informations forums :
    Inscription : Avril 2008
    Messages : 28
    Points : 32
    Points
    32
    Par défaut dis nous en un peu plus
    Salut,

    Dans le post précédent, deux solutions ont été mentionnées et elles sont très bonne. (Vivado ou toolbox matlab)

    Je te met en garde, si tu n'as pas de connaissance en VHDL et FPGA, ca risque d'être compliqué pour toi.

    Transformer du C++ en VHDL n'est pas magique, il faut préssentir la complexité de ce qui est décri en C/C++, avoir de l'experience sur
    cible, pour juger rapidement le besoin de ressources, la latence et le débit atteignable sur FPGA.

    Ca serait cool de nous en dire un peu plus... qu'est ce que tu as d'implémenté en en C++, on pourrait te repondre si ta démarche a du sens

  5. #5
    Membre à l'essai
    Femme Profil pro
    Étudiant
    Inscrit en
    Février 2015
    Messages
    15
    Détails du profil
    Informations personnelles :
    Sexe : Femme
    Âge : 32
    Localisation : Tunisie

    Informations professionnelles :
    Activité : Étudiant
    Secteur : Enseignement

    Informations forums :
    Inscription : Février 2015
    Messages : 15
    Points : 16
    Points
    16
    Par défaut
    Bonjour sgievounet;
    Je suis entrain de faire mon projet sur les cross-compilateurs. En fait, je veux écrire un code sous C++ puis le convertir automatiquement en VHDL sous QuartusII mais j'ai pas trouvé l'outil convenable qui me permet de faire cette conversion. Il s'agit d'un simple code (addition,multiplication..).
    Concernant Vivado, il fonctionne sous Xilinix or je veux travailler sous QuartusII . J'ai réussi à faire la conversion sous Matlab vers Quartus (VHDL) mais je suis bloqué dans C++. Que dois-je faire?
    Merci d'avance

  6. #6
    Nouveau membre du Club
    Profil pro
    Développeur en systèmes embarqués
    Inscrit en
    Avril 2008
    Messages
    28
    Détails du profil
    Informations personnelles :
    Localisation : France

    Informations professionnelles :
    Activité : Développeur en systèmes embarqués

    Informations forums :
    Inscription : Avril 2008
    Messages : 28
    Points : 32
    Points
    32
    Par défaut ...
    A mon sens, je ne m'y connais pas trop au niveau technologie Altera, à part VHDL sur cible. Je crois qu'ils sont assez impliqué en Open CL.

    Peut être que je me trompe, mais pour moi cette solution est morte née dans l'oeuf.

    Je vois pas grand d'equivalent à HLS (uniquement pour Xilinx) qui connait ses limites...

    A l'epoque il existait des logiciels, comme Handle C, Catapult, aucune idée s'ils sont toujours à jours.

    Une des meilleurs solutions resterait les solutions de matlab avec leur ToolBox.

    Je crois avoir fait le tour

  7. #7
    Membre à l'essai
    Femme Profil pro
    Étudiant
    Inscrit en
    Février 2015
    Messages
    15
    Détails du profil
    Informations personnelles :
    Sexe : Femme
    Âge : 32
    Localisation : Tunisie

    Informations professionnelles :
    Activité : Étudiant
    Secteur : Enseignement

    Informations forums :
    Inscription : Février 2015
    Messages : 15
    Points : 16
    Points
    16
    Par défaut
    Bonjour,
    Je veux convertir du systemc to verilog RTL par l'outil sc2v mais j'ai pas compris comment l'utiliser.. Pouvez-vous m'aider svp??

  8. #8
    Nouveau Candidat au Club
    Femme Profil pro
    Enseignant Chercheur
    Inscrit en
    Avril 2019
    Messages
    1
    Détails du profil
    Informations personnelles :
    Sexe : Femme
    Âge : 31
    Localisation : Tunisie

    Informations professionnelles :
    Activité : Enseignant Chercheur
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Avril 2019
    Messages : 1
    Points : 0
    Points
    0
    Par défaut
    Bonjour,
    Je veux convertir un code généré sous C# vers VHDL automatiquement mais j'ai pas trouvé l'outil convenable.
    Je me demande si vous pouvez m'aider ??
    mercii d'avance

Discussions similaires

  1. Convertir un code C++ en VHDL
    Par princesse91 dans le forum Autres éditeurs
    Réponses: 2
    Dernier message: 04/03/2015, 10h16
  2. Convertir du code Matlab en VHDL
    Par okitrinaw dans le forum VHDL
    Réponses: 2
    Dernier message: 14/05/2012, 20h03
  3. [Free Pascal] Convertir un code ASCII en entier
    Par JoseF dans le forum Free Pascal
    Réponses: 13
    Dernier message: 04/04/2006, 19h30
  4. Convertir du code ASM 68020 en C++
    Par )3lade dans le forum Linux
    Réponses: 5
    Dernier message: 13/01/2006, 10h03
  5. [mx2004]Convertir un code ASCII en une lettre
    Par caramel dans le forum Flash
    Réponses: 3
    Dernier message: 28/01/2004, 16h26

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo