IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

Signal interne sur real_vector


Sujet :

VHDL

  1. #1
    Membre à l'essai
    Homme Profil pro
    alternance STMicroelectronics
    Inscrit en
    Novembre 2013
    Messages
    21
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Meurthe et Moselle (Lorraine)

    Informations professionnelles :
    Activité : alternance STMicroelectronics
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Novembre 2013
    Messages : 21
    Points : 18
    Points
    18
    Par défaut Signal interne sur real_vector
    Salut a tous!

    Je voudrais savoir s'il est possible de connecter des signaux d'interconnection sur chaque "bit" d'un vecteur. Dans mon cas ce n'est pas un bit parce que c'est un real_vector mais je ne sais pas comment dire dans ce cas du coup
    Je m'explique, j'ai un multiplexeur 4vers1 dont les entrees sont de type real, comme j'utilise un multiplexeur generique mes 4 entrees sont sur un real_vector(3 downto 0) avec un signal sur chaque "bit" de ce vector. Et je voudrais simuler ce composant en connectant des generateurs de tensions sinusoidales differents sur chaque "bit". Et moi je voudrais connecter les sorties de ces generateurs sur ce real_vector.
    J'avais pense a faire comme ca lors de l'instanciation : port map(data(0) => signal1, data(1) => signal2, data(2) => signal3, data(3) => signal4);
    Mais ca ne marche pas, alors est-ce que c'est possible de connecter ces generateurs a mon real_vector ou pas ?

    Merci d'avance pour vos reponses!

  2. #2
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 431
    Points
    1 431
    Par défaut
    Je voudrais savoir s'il est possible de connecter des signaux d'interconnection sur chaque "bit" d'un vecteur
    OUI

    J'avais pense a faire comme ca lors de l'instanciation : port map(data(0) => signal1, data(1) => signal2, data(2) => signal3, data(3) => signal4);
    Oui ça se fait très bien sur les std_logic_vector, donc ça devrai se faire aussi bien sur d'autres types. Le problème doit être ailleurs.

    Mais ca ne marche pas
    Peux tu être plus explicite STP

  3. #3
    Membre à l'essai
    Homme Profil pro
    alternance STMicroelectronics
    Inscrit en
    Novembre 2013
    Messages
    21
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Meurthe et Moselle (Lorraine)

    Informations professionnelles :
    Activité : alternance STMicroelectronics
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Novembre 2013
    Messages : 21
    Points : 18
    Points
    18
    Par défaut
    Je vous fourni mon code.
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    35
    36
    37
    38
    39
    40
    41
    42
    43
    44
    45
    46
    47
    48
    49
    50
    51
    52
    53
    54
    55
    56
    57
    58
    59
    60
    61
    62
    63
    64
    65
    66
    67
    68
    69
    70
    71
    72
    73
    74
    75
    76
    77
    78
    79
    80
    81
    82
    83
    84
    85
    86
    87
    88
    89
    90
    91
    92
    93
    94
    95
    96
    97
    98
    99
    100
    101
    102
    103
    104
    105
    106
    107
    108
    109
    110
    111
    112
    113
    114
    115
    116
    117
    118
    119
    120
    121
    122
    123
    124
    125
    126
    127
    128
    129
    130
    131
    132
    133
    134
    135
    136
    137
    138
    139
    140
    141
    142
    143
    144
    145
    146
    147
    148
    149
    150
    151
    152
    153
    154
    155
    156
    157
    158
    159
    160
    161
    162
    163
    164
    165
    166
    167
    168
    169
    170
    171
    172
    173
    174
    175
    176
    177
    178
    179
    180
    181
    182
    183
    184
    185
    186
    187
    188
    189
    190
    191
    192
    193
    194
    195
    196
    197
    198
    199
    200
    201
    202
    203
    204
    205
    206
    207
    208
    209
    210
    211
    212
    213
    214
    215
    216
    217
    218
    219
    220
    221
    222
    223
    224
    225
    226
    227
    228
    229
    230
    231
    232
    233
    234
    235
    236
    237
    238
    239
    240
    241
    242
    243
    244
    245
    246
    247
    248
    249
    250
    251
    252
    253
    254
    255
    256
    257
    258
    259
    260
    261
    262
    263
    264
    265
    266
    267
    268
    269
    270
    271
    272
    273
    274
    275
    276
    277
    278
    279
    280
    281
    282
    283
    284
    285
    286
    287
    288
    289
    290
    291
    292
    293
    294
    295
    296
    297
    298
    299
    300
    301
    302
    303
    304
    library ieee;
    use ieee.std_logic_1164.all;
     
    library analogdevice_lib;
    use analogdevice_lib.all;
     
    library st_lib;
    use st_lib.all;
     
     
    entity systeme_comparateur is
     
    	port(enable_mux1, enable_mux2,
    	     enable_mux3, enable_mux4   : in std_logic;
    	     A_mux1, A_mux2             : in std_logic_vector (1 downto 0);
    	     A_mux3, A_mux4             : in std_logic_vector (2 downto 0);
    	     --S_mux1, S_mux2           : in real_vector (3 downto 0);
    	     --S_mux3, S_mux4           : in real_vector (7 downto 0);
    	     In_switch	                : in std_logic;
    	     Sortie_comp1, Sortie_comp2 : out real); 	
     
    end systeme_comparateur;
     
     
    architecture archi of systeme_comparateur is
     
    component analog_ADG704
     
    	generic (INPUTS_SEL: integer);
     
    	port(EN : in std_logic;
    	     A  : in std_logic_vector (INPUTS_SEL-1 downto 0);
    	     S  : in real_vector (2**INPUTS_SEL-1 downto 0);
    	     D  : out real);
     
    end component;
     
    component analog_ADG708
     
    	generic (INPUTS_SEL: integer);
     
    	port(EN : in std_logic;
    	     A  : in std_logic_vector (INPUTS_SEL-1 downto 0);
    	     S  : in real_vector (2**INPUTS_SEL-1 downto 0);
    	     D  : out real);
     
    end component;
     
    component analog_comparateur
     
    	generic (vcc   : real;
    		 gnd   : real);  
     
    	port(in_pos : in real;
    	     in_neg : in real;
                 s_comp : out real); 	
     
    end component;
     
    component analog_mux_2to1
     
    	port(A     : in std_logic;
    	     S1,S2 : in real;
    	     D     : out real);
     
    end component;
     
    component sinus_generator
     
    	generic(AMP: real;
    		FREQ: real);
     
    	port(sinus: out real);
     
    end component;
     
    signal mux1_mux5, mux5_comp1, mux2_comp1, mux3_out, mux4_comp2 : real;
     
    signal int1, int2, int3, int4, int5, int6, int7, int8,
           int9, int10, int11, int12, int13, int14, int15, int16,
           int17, int18, int19, int20, int21, int22, int23, int24 : real;
     
    signal test: real_vector(3 downto 0);
    signal test2: real_vector(7 downto 0);
     
    begin
     
    	u1: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int1);
     
    	u2: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int2);
     
    	u3: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int3);
     
    	u4: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int4);
     
    	u5: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int5);
     
    	u6: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int6);
     
    	u7: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int7);
     
    	u8: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int8);
     
    	u9: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int9);
     
    	u10: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int10);
     
    	u11: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int11);
     
    	u12: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int12);
     
    	u13: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int13);
     
    	u14: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int14);
     
    	u15: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int15);
     
    	u16: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int16);
     
    	u17: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int17);
     
    	u18: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int18);
     
    	u19: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int19);
     
    	u20: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int20);
     
    	u21: sinus_generator
     
    	 	generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int21);
     
    	u22: sinus_generator 	
     
    		generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int22);
     
    	u23: sinus_generator
     
    	 	generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int23);
     
    	u24: sinus_generator
     
    	 	generic map (AMP => 1.0, FREQ => 20000000.0)
     
    		port map (int24);
     
    	mux1: analog_ADG704	
     
    		generic map (INPUTS_SEL => 2)
     
    		port map (enable_mux1,
    			  A_mux1,
    			  S_mux1(0) => int1,
    			  S_mux1(1) => int2,
    			  S_mux1(2) => int3,
    			  S_mux1(3) => int4,
    			  D => mux1_mux5);
     
    	mux2: analog_ADG708	
     
    		generic map (INPUTS_SEL => 3)
     
    		port map (enable_mux2,
    			  A_mux2,
    			  S_mux2(0) => int5,
    			  S_mux2(1) => int6,
    			  S_mux2(2) => int7,
    			  S_mux2(3) => int8,
    			  S_mux2(4) => int9,
    			  S_mux2(5) => int10,
    			  S_mux2(6) => int11,
    			  S_mux2(7) => int12,
    			  D => mux2_comp1);
     
    	cmp1: analog_comparateur
     
    		generic map (vcc => 5.0, gnd => 0.0)
     
    		port map (mux1_mux5, mux2_comp1, Sortie_comp1);
     
    	mux3: analog_ADG704
     
    		generic map (INPUTS_SEL => 2)
     
    		port map (enable_mux3,
    			  A_mux3,
    			  S_mux3(0) => int13,
    			  S_mux3(1) => int14,
    			  S_mux3(2) => int15,
    			  S_mux3(3) => int16,
    			  D => mux3_out);
     
    	mux4: analog_ADG708
     
    		generic map (INPUTS_SEL => 3)
     
    		port map (enable_mux4,
    			  A_mux4,
    			  S_mux4(0) => int17,
    			  S_mux4(1) => int18,
    			  S_mux4(2) => int19,
    			  S_mux4(3) => int20,
    			  S_mux4(4) => int21,
    			  S_mux4(5) => int22,
    			  S_mux4(6) => int23,
    			  S_mux4(7) => int24,
    			  D => mux4_comp2);
     
    	comp2: analog_comparateur
     
    		generic map (vcc => 5.0, gnd => 0.0)
     
    		port map (mux3_out, mux4_comp2, Sortie_comp2);
     
    	switch: analog_mux_2to1
     
    		port map (In_switch, mux1_mux5, mux3_out, mux5_comp1);
     
    end archi;
    Voici les erreurs que j'ai lorsque je compile ce code:

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    ncvhdl -work st_lib -ams -message ../sources/systeme_comparateur_ent.vhdl ../sources/systeme_comparateur_arch.vhdl ../sources/systeme_comparateur_tb.vhdl
    ncvhdl: 13.20-p002: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
    ../sources/systeme_comparateur.vhdl:
                              S_mux1(0) => int1,
                                    |
    ncvhdl_p: *E,FMLBAD (../sources/systeme_comparateur_arch.vhdl,231|11): poorly formed formal part of element association 87[4.3.3.2] 93[4.3.2.2].
                              S_mux2(0) => int5,
                                    |
    ncvhdl_p: *E,FMLBAD (../sources/systeme_comparateur_arch.vhdl,243|11): poorly formed formal part of element association 87[4.3.3.2] 93[4.3.2.2].
                              S_mux3(0) => int13,
                                    |
    ncvhdl_p: *E,FMLBAD (../sources/systeme_comparateur_arch.vhdl,265|11): poorly formed formal part of element association 87[4.3.3.2] 93[4.3.2.2].
                              S_mux4(0) => int17,
                                    |
    ncvhdl_p: *E,FMLBAD (../sources/systeme_comparateur_arch.vhdl,277|11): poorly formed formal part of element association 87[4.3.3.2] 93[4.3.2.2].
            errors: 4, warnings: 0

  4. #4
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 431
    Points
    1 431
    Par défaut
    Tu instancie mal le comosant analog_ADG704
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    component analog_ADG704
    
    	generic (INPUTS_SEL: integer);
    	
    	port(EN : in std_logic;
    	     A  : in std_logic_vector (INPUTS_SEL-1 downto 0);
    	     S  : in real_vector (2**INPUTS_SEL-1 downto 0);
    	     D  : out real);
    	     
    end component;
    
    analog_ADG708	
    	
    		generic map (INPUTS_SEL => 3)
                     port map (
    			  EN   => ???,
    			  A    => ???,
    			  S(0) => int5,
    			  S(1) => int6,
    			  S(2) => int7,
    			  S(3) => int8,
    			  S(4) => int9,
    			  S(5) => int10,
    			  S(6) => int11,
    			  S(7) => int12,
    			  D => mux2_comp1);

  5. #5
    Membre à l'essai
    Homme Profil pro
    alternance STMicroelectronics
    Inscrit en
    Novembre 2013
    Messages
    21
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : France, Meurthe et Moselle (Lorraine)

    Informations professionnelles :
    Activité : alternance STMicroelectronics
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Novembre 2013
    Messages : 21
    Points : 18
    Points
    18
    Par défaut
    Citation Envoyé par mith06 Voir le message
    Tu instancie mal le comosant analog_ADG704
    Non, j'ai juste mixe instanciation par position (enable et A) et par placement (S et D). J'ai eu un doute suite a votre reponse, je pensais qu'on ne pouvait pas mixer les deux facons mais en faisant que des instanciations par placement j'ai les memes erreurs. A moins que vous ne parliez pas de ca ?

Discussions similaires

  1. Réponses: 7
    Dernier message: 12/06/2007, 15h05
  2. Signal sonore sur la carte son
    Par woow dans le forum API, COM et SDKs
    Réponses: 2
    Dernier message: 22/11/2005, 13h25
  3. [MySQL] generer un fichier html a partir de php en interne sur serve
    Par NoobX dans le forum PHP & Base de données
    Réponses: 4
    Dernier message: 11/10/2005, 14h01
  4. signal carré sur port //
    Par MLOLO dans le forum C++
    Réponses: 2
    Dernier message: 14/01/2005, 02h02

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo