Bonjour,
X'high renvoie l'élement haut dans la déclaration des borne d'un tableau. Je crois que ' fait référence à l'attribut d'un objet, dans notre cas un std_logic_vector.
std_logic_vector possède plusieurs attributs:
exemple :
signal dummy : std_logic_vector(42 downto 0);
dummy'high renvoie 42
dummy'low renvoie 0
dummy'length renvoie 43
dummy'range renvoie 42 downto 0
donc tu peux faire : signal dummy2 : std_logic_vector(dummy'range);-- ça marche pour déclarer des signaux de même taille.
resize permet de changer la taille signaux de type signed ou unsigned en faisant attention au signe.
exemple:
std_logic_vector(resize(unsigned("1000"),8)) renvoie "00001000" <=> 8
std_logic_vector(resize( signed("1000"),8)) renvoie "11111000" <=> -8
std_logic_vector(resize( signed("0111"),8)) renvoie "00000111" <=> 7
Partager