IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

tableau en entrée VHDL


Sujet :

VHDL

  1. #1
    Membre à l'essai
    Profil pro
    Inscrit en
    Avril 2010
    Messages
    31
    Détails du profil
    Informations personnelles :
    Localisation : France

    Informations forums :
    Inscription : Avril 2010
    Messages : 31
    Points : 15
    Points
    15
    Par défaut tableau en entrée VHDL
    Bonjour à tous,
    je voudrais savoir si on peut déclarer un tableau en entrée d'une entity en VHDL.
    Merci d'avance

  2. #2
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 431
    Points
    1 431
    Par défaut
    Je pense que c'est possible.
    Mais je ne l'ai jamais vu.

  3. #3
    Membre régulier
    Profil pro
    Inscrit en
    Juin 2009
    Messages
    61
    Détails du profil
    Informations personnelles :
    Localisation : France

    Informations forums :
    Inscription : Juin 2009
    Messages : 61
    Points : 121
    Points
    121
    Par défaut
    Bonsoir,

    C'est tout à fait possible. D'ailleurs le type std_logic_vector est un tableau de std_logic.
    Pour que ce tableau soit utilisable dans la déclaration d'une entité, il faut que ce type soit déclaré dans un package, lui-même appelé dans la partie libraries située juste avant l'entité. Donc
    1. dans "pkg_toto.vhd", on déclare "type MonTypeTableau is array(natural range <>) of MonTypeDeBase;"
    2. dans "MonEntity.vhd", on déclare ce package : "library work;" puis "use work.pkg_toto.all;"
    3. puis "entity MonEntity is port (MonTableau : in MonTypeTableau(2 downto 0);"


    Correction importante en point #2 (.vhd -> .all)

  4. #4
    Membre à l'essai
    Profil pro
    Inscrit en
    Avril 2010
    Messages
    31
    Détails du profil
    Informations personnelles :
    Localisation : France

    Informations forums :
    Inscription : Avril 2010
    Messages : 31
    Points : 15
    Points
    15
    Par défaut
    Bonjour et merci de vos réponses
    En fait j'ai dut apporté des modifications à mes codes suites à différentes contraintes,
    ce que je voudrais maintenant c'est pouvoir mettre mes différentes entrées dans un tableau de cette façon mais ça ne marche pas

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    entity Parite_diag is
     
    generic(n : integer := 15);
    port(    load1_D : IN std_logic;
    			load2_D : IN std_logic;
    			reset_counter_out : IN  std_logic_vector(15 downto 0);
             adress_counter_out : IN  std_logic_vector(15 downto 0);
             read_sequence_out : IN  std_logic_vector(15 downto 0);
             RN_16_out : IN  std_logic_vector(15 downto 0);
             Handle_out : IN  std_logic_vector(15 downto 0);
    			parité_D : out std_logic_vector (1 to (2*n)));
    end Parite_diag;
     
    architecture Behavioral of Parite_diag is
    type tableau is array (0 to n,0 to n) of std_logic_vector; 
    signal	t : tableau(1 to n,1 to n) := (reset_counter_out, adress_counter_out, read_sequence_out, RN_16_out,Handle_out);
    signal p1 : std_logic_vector(1 to n);
    signal p2 : std_logic_vector(1 to n);
    Merci encore de votre aide

Discussions similaires

  1. [Tableaux] tableau double entrée
    Par molesqualeux dans le forum Langage
    Réponses: 3
    Dernier message: 09/12/2005, 15h19
  2. tableau double entrée et requete sql
    Par oceane751 dans le forum Balisage (X)HTML et validation W3C
    Réponses: 3
    Dernier message: 29/11/2005, 14h25
  3. [MySQL] Tableau double entrée et requete sql
    Par oceane751 dans le forum PHP & Base de données
    Réponses: 5
    Dernier message: 28/11/2005, 09h07
  4. tableau double entrée
    Par Andrey dans le forum C
    Réponses: 16
    Dernier message: 08/11/2005, 15h33
  5. [XSLT]tableau double entrée avec cellule manquante
    Par nferay dans le forum XSL/XSLT/XPATH
    Réponses: 9
    Dernier message: 08/03/2005, 15h07

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo