1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40
| entity tableau is
port ( clk : IN std_logic;
reset : IN std_logic);
end tableau;
architecture Behavioral of tableau is
type tab is array(15 downto 0) of std_logic_vector(15 downto 0);
signal tab:tab;
begin
process_tab : process(reset,clk)
if reset = '1' then
--INITIALISE VALUE
FOR i 0 to 15 loop
tab(i) := "0000000000000000";
end loop;
elsif(rising_edge(clk))then
tab(0) <= RN16;
tab(1) <= Handle;
tab(2) <= (TRcal, others => '0');
tab(3) <= (reset_counter, others => '0');
tab(4) <= (DR, others => '0');
tab(5) <= (M, others => '0');
tab(6) <= (TRext, others => '0');
tab(7) <= (session, others => '0');
tab(8) <= (Q, others => '0');
tab(9) <= (read_sequence, others => '0');
tab(10) <= (adresse_counter, others => '0');
tab(11) <= (TagState, others => '0');
tab(12) := "0000000000000000";
tab(13) := "0000000000000000";
tab(14) := "0000000000000000";
tab(15) := "0000000000000000";
end if;
end process process_tab;
end Behavioral; |
Partager