IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

VHDL Discussion :

VHDL to JEDEC


Sujet :

VHDL

  1. #1
    Membre à l'essai
    Inscrit en
    Avril 2007
    Messages
    9
    Détails du profil
    Informations forums :
    Inscription : Avril 2007
    Messages : 9
    Points : 10
    Points
    10
    Par défaut VHDL to JEDEC
    Bonjour/Bonsoir;

    J'ai terminé un bout de programme en VHDL que j'ai simulé sous Altera U.P. Simulator. ça fonctionne bien Mais j'aimerais bien voir la simulation sous Proteus. Donc en utilisant le composant 16V8 je dois comvertir mon fichier .vhd en JEDEC pour le mettre en source pour le composant.

    comment faire svp pr convertir du code VHDL en un fichier JEDEC !!!


    Merci pour votre réponse.

  2. #2
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 429
    Points
    1 429
    Par défaut
    Wouahou!!!

    Altera U.P. Simulator. Connais PAs....

    Proteus : Connais pas....

    composant 16V8 CONAIIS PASS!!!

    JEDEC Connais pas

    Désolé

  3. #3
    Membre à l'essai
    Inscrit en
    Avril 2007
    Messages
    9
    Détails du profil
    Informations forums :
    Inscription : Avril 2007
    Messages : 9
    Points : 10
    Points
    10
    Par défaut
    D'accord;

    Sinon comment je pourrais simuler temporellement pour projet.

    Merci

  4. #4
    Membre à l'essai
    Inscrit en
    Avril 2007
    Messages
    9
    Détails du profil
    Informations forums :
    Inscription : Avril 2007
    Messages : 9
    Points : 10
    Points
    10
    Par défaut
    Voila l'architecture de mon programme, au fait, le principe c'est de faire le feux de carrefour.
    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29
    30
    31
    32
    33
    34
    35
    36
    37
    38
    39
    40
    41
    42
    43
     
    Architecture Arch Of Feux Is
    Type Etat_Feu is(Defaut,Sortie1,Sortie2,second,Retour1,Retour2);
    Signal Automate : Etat_Feu;
    Constant T0: Time := 1 s;
    Constant T1: Time := 4 s;
    Constant T2: Time := 2 s;
    begin
    Process(Automate)
    begin
    R1 <= '0'; R2 <= '0';
    O1 <= '0'; O2 <= '0';
    V1 <= '0'; V2 <= '0';
     
    case Automate is
    when Defaut  => R1 <= '1'; R2 <= '1';
    when Sortie1 => V1 <= '1'; R2 <= '1';
    when Sortie2 => O1 <= '1'; R2 <= '1';
    when Second  => R1 <= '1'; R2 <= '1';
    when Retour1 => R1 <= '1'; V2 <= '1';
    when Retour2 => R1 <= '1'; O2 <= '1';
    end case;
    end process;
     
    sequence : process(clk,Raz,Automate)
    begin
    if (Clk'event and Clk = '1') then
    if rising_edge (Raz) then Automate <= Defaut;
       Automate <= Defaut After T0;
    end if;
     
    case Automate is
    when defaut  => Automate <= Sortie1 After T0;
    when Sortie1 => Automate <= sortie2 After T1;
    when Sortie2 => Automate <= Second  After T2;
    when Second  => Automate <= Retour1 After T0;
    when Retour1 => Automate <= retour2 After T1;
    when Retour2 => Automate <= Defaut  After T2;
    end case;
    end if;
    end process sequence;
     
    end Arch;

    La séquence est juste mais les temporisation sont fausses. par exemple le vert ne dur que pendant une période d'horloge.

  5. #5
    Membre expérimenté

    Homme Profil pro
    Collégien
    Inscrit en
    Juillet 2010
    Messages
    545
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Localisation : Afghanistan

    Informations professionnelles :
    Activité : Collégien

    Informations forums :
    Inscription : Juillet 2010
    Messages : 545
    Points : 1 429
    Points
    1 429
    Par défaut
    Alors

    Ne jamais rien mettre d'autre dans la liste de sensibilité d'un process que l'horloge, et le reset SI celui-ci est asynchrone.
    Dans ton cas il synchrone =>

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    1
    2
    3
    4
    5
    6
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17
    18
    sequence : process(clk)
        begin
        if (Clk'event and Clk = '1') then
            if (Raz = '1') then --reset synchronne
                Automate <= Defaut;
                Automate <= Defaut After T0;
            else
                case Automate is
                when defaut  => Automate <= Sortie1 After T0;
                when Sortie1 => Automate <= sortie2 After T1;
                when Sortie2 => Automate <= Second  After T2;
                when Second  => Automate <= Retour1 After T0;
                when Retour1 => Automate <= retour2 After T1;
                when Retour2 => Automate <= Defaut  After T2;
                end case;
            end if;
        end if;
    end process sequence;

    Pour ce que est de la logique combinatoire décrit la a l’extérieur des process:

    Code : Sélectionner tout - Visualiser dans une fenêtre à part
    R1 <= '1' when ((Automate = Defaut) or (Automate = Second) or (Automate = Retour1) or (Automate = Retour2)) else '0';

Discussions similaires

  1. Convertir un .xml en .vhdl en Java
    Par bassi44 dans le forum VHDL
    Réponses: 2
    Dernier message: 19/03/2008, 13h33
  2. Génération de code vhdl
    Par moslem1 dans le forum MATLAB
    Réponses: 1
    Dernier message: 18/10/2007, 16h59
  3. [electronique numérique & programmation] Apprendre le VHDL
    Par Muesko dans le forum La taverne du Club : Humour et divers
    Réponses: 2
    Dernier message: 27/08/2007, 15h50
  4. Ecrire un code VHDL à partir de Perl
    Par blaise4714 dans le forum VHDL
    Réponses: 1
    Dernier message: 19/06/2007, 14h32
  5. Réponses: 1
    Dernier message: 17/11/2004, 17h44

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo