IdentifiantMot de passe
Loading...
Mot de passe oublié ?Je m'inscris ! (gratuit)
Navigation

Inscrivez-vous gratuitement
pour pouvoir participer, suivre les réponses en temps réel, voter pour les messages, poser vos propres questions et recevoir la newsletter

Autres architectures Assembleur Discussion :

[68HC11] Horloge numérique avec afficheur 7 segments


Sujet :

Autres architectures Assembleur

  1. #1
    Membre à l'essai
    Homme Profil pro
    Développeur informatique
    Inscrit en
    Avril 2012
    Messages
    24
    Détails du profil
    Informations personnelles :
    Sexe : Homme

    Informations professionnelles :
    Activité : Développeur informatique
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Avril 2012
    Messages : 24
    Points : 21
    Points
    21
    Par défaut [68HC11] Horloge numérique avec afficheur 7 segments
    Bonsoir,

    Je voudrais concevoir une horloge numérique avec le Mc 68HC11F1 et des afficheurs 7 segments, connaissez-vous des exemples de codes assembleur qui me permettrons de le faire, ou des liens intéressant qui peuvent m'aider?

    Je vous remercie d'avance

  2. #2
    Modérateur
    Avatar de Obsidian
    Homme Profil pro
    Développeur en systèmes embarqués
    Inscrit en
    Septembre 2007
    Messages
    7 369
    Détails du profil
    Informations personnelles :
    Sexe : Homme
    Âge : 47
    Localisation : France, Essonne (Île de France)

    Informations professionnelles :
    Activité : Développeur en systèmes embarqués
    Secteur : High Tech - Éditeur de logiciels

    Informations forums :
    Inscription : Septembre 2007
    Messages : 7 369
    Points : 23 623
    Points
    23 623
    Par défaut
    Bonjour,

    C'est relativement simple si tu as déjà un programmateur pour la puce que tu comptes utiliser. Sache toutefois qu'il existe de nombreuses déclinaisons du 68HC11 : http://www.freescale.com/webapp/sps/...01624684498635

    Tout ce qu'il te faut, c'est la datasheet du bon modèle, ci-dessus.

    Ensuite, tu as au moins quatre ports 8 bits et jusqu'à 7 sept si tu comptes t'en tenir à la mémoire interne. Ça te permet d'accéder individuellement à chacun de tes quatre afficheurs, de gérer les points décimaux et les symboles additionnels éventuels (alarm, snooze, 12/24h, etc.) et les touches du clavier sans avoir à faire un quelconque multiplexage.

    Si tu n'utilises que la mémoire interne, tu ne disposes que de 512 octets de ROM et de 1024 octets de mémoire vive. C'est peu, mais c'est largement suffisant pour émuler une horloge.

    Pour le reste, essaie de te familiariser avec le jeu d'instruction en section 3.5.

  3. #3
    Membre à l'essai
    Homme Profil pro
    Développeur informatique
    Inscrit en
    Avril 2012
    Messages
    24
    Détails du profil
    Informations personnelles :
    Sexe : Homme

    Informations professionnelles :
    Activité : Développeur informatique
    Secteur : High Tech - Électronique et micro-électronique

    Informations forums :
    Inscription : Avril 2012
    Messages : 24
    Points : 21
    Points
    21
    Par défaut
    Bonjour et merci,

    J'ai une carte où je n'utilise que la mémoire interne, mon problème c'est comment écrire le code assembleur c'est la où je bloque et je ne trouve pas d'exemples !!

    Pour la carte j'ai pensé à la concevoir moi même car ça m’intéresse aussi mais je ne trouve pas d'exemples également !!

    Je suis sur que je fais mal mes recherches si jamais vous avez des liens intéressant n’hésitez pas à me les citez svp

Discussions similaires

  1. Horloge numerique afficheur 7 segments
    Par defjames dans le forum Débuter
    Réponses: 17
    Dernier message: 26/01/2014, 13h12
  2. [68HC11] Réalisation d'une horloge numérique
    Par david2312 dans le forum Autres architectures
    Réponses: 2
    Dernier message: 04/04/2007, 15h40
  3. [16F676] Horloge numérique
    Par toutou85 dans le forum Autres architectures
    Réponses: 5
    Dernier message: 25/10/2006, 15h38
  4. Horloge numérique
    Par mimi2006 dans le forum Assembleur
    Réponses: 7
    Dernier message: 19/04/2006, 00h05
  5. [LCD] Contrôle horloge numérique
    Par fredtheman dans le forum Composants VCL
    Réponses: 13
    Dernier message: 27/12/2004, 18h00

Partager

Partager
  • Envoyer la discussion sur Viadeo
  • Envoyer la discussion sur Twitter
  • Envoyer la discussion sur Google
  • Envoyer la discussion sur Facebook
  • Envoyer la discussion sur Digg
  • Envoyer la discussion sur Delicious
  • Envoyer la discussion sur MySpace
  • Envoyer la discussion sur Yahoo